Part Number Hot Search : 
2N656 F10179PC 01102 30030 CS5212D TA303PA LM830 DT54FCT
Product Description
Full Text Search
 

To Download XCV50E Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  ? 2000-2002 xilinx, inc. all rights reserved. all xilinx trademarks, registered trademarks, patents, and disclaimers are as lis ted at http://www.xilinx.com/legal.htm . all other trademarks and registered trademarks are the property of their respective owners. all specifications are subject to c hange without notice. ds022-1 (v2.3) july 17, 2002 www.xilinx.com module 1 of 4 production product specification 1-800-255-7778 1 features ? fast, high-density 1.8 v fpga family - densities from 58 k to 4 m system gates - 130 mhz internal performance (four lut levels) - designed for low-power operation - pci compliant 3.3 v, 32/64-bit, 33/ 66-mhz  highly flexible selecti/o+? technology - supports 20 high-performance interface standards - up to 804 singled-ended i/os or 344 differential i/o pairs for an aggregate bandwidth of > 100 gb/s  differential signalling support - lvds (622 mb/s), blvds (bus lvds), lvpecl - differential i/o signals can be input, output, or i/o - compatible with standard differential devices - lvpecl and lvds clock inputs for 300+ mhz clocks  proprietary high-performance selectlink? technology - double data rate (ddr) to virtex-e link - web-based hdl generation methodology  sophisticated selectram+? memory hierarchy - 1 mb of internal configurable distributed ram - up to 832 kb of synchronous internal block ram - true dual-port blockram capability - memory bandwidth up to 1.66 tb/s (equivalent bandwidth of over 100 rambus channels) - designed for high-performance interfaces to external memories - 200 mhz zbt* srams - 200 mb/s ddr sdrams - supported by free synthesizable reference design  high-performance built-in clock management circuitry - eight fully digital delay-locked loops (dlls) - digitally-synthesized 50% duty cycle for double data rate (ddr) applications - clock multiply and divide - zero-delay conversion of high-speed lvpecl/lvds clocks to any i/o standard  flexible architecture balances speed and density - dedicated carry logic for high-speed arithmetic - dedicated multiplier support - cascade chain for wide-input function - abundant registers/latches with clock enable, and dual synchronous/asynchronous set and reset - internal 3-state bussing - ieee 1149.1 boundary-scan logic - die-temperature sensor diode  supported by xilinx foundation? and alliance series? development systems - further compile time reduction of 50% - internet team design (itd) tool ideal for million-plus gate density designs - wide selection of pc and workstation platforms  sram-based in-system configuration - unlimited re-programmability  advanced packaging options - 0.8 mm chip-scale - 1.0 mm bga -1.27mm bga -hq/pq 0.18 m 6-layer metal process  100% factory tested * zbt is a trademark of integrated device technology, inc. 0 virtex?-e 1.8 v field programmable gate arrays ds022-1 (v2.3) july 17, 2002 00 production product specification r
virtex ? -e 1.8 v field programmable gate arrays r module 1 of 4 www.xilinx.com ds022-1 (v2.3) july 17, 2002 2 1-800-255-7778 production product specification virtex-e compared to virtex devices the virtex-e family offers up to 43,200 logic cells in devices up to 30% faster than the virtex family. i/o performance is increased to 622 mb/s using source synchronous data transmission architectures and synchro- nous system performance up to 240 mhz using sin- gled-ended selecti/o technology. additional i/o standards are supported, notably l vpecl, lvds, and blvds, which use two pins per signal. almost all signal pins can be used for these new standards. virtex-e devices have up to 640 kb of faster (250 mhz) block selectram, but the individual rams are the same size and structure as in the virtex family. they also have eight dlls instead of the four in virtex devices. each indi- vidual dll is slightly improved with easier clock mirroring and 4x frequency multiplication. v ccint , the supply voltage for the internal logic and mem- ory, is 1.8 v, instead of 2.5 v for virtex devices. advanced processing and 0.18 m design rules have resulted in smaller dice, faster speed, and lower power consumption. i/o pins are 3 v tolerant, and can be 5 v tolerant with an external 100 ? resistor. pci 5 v is not supported. with the addition of appropriate external resistors, any pin can toler- ate any voltage desired. banking rules are different. with virtex devices, all input buffers are powered by v ccint . with virtex-e devices, the lvttl, lvcmos2, and pci input buffers are powered by the i/o supply voltage v cco . the virtex-e family is not bitstream-compatible with the vir- tex family, but virtex designs can be compiled into equiva- lent virtex-e devices. the same device in the same package for the virtex-e and virtex families are pin-compatible with some minor excep- tions. see the data sheet pinout section for details. general description the virtex-e fpga family delivers high-performance, high-capacity programmable logic solutions. dramatic increases in silicon efficiency result from optimizing the new architecture for place-and-route efficiency and exploiting an aggressive 6-layer metal 0.18 m cmos process. these advances make virtex-e fpgas powerful and flexible alter- natives to mask-programmed gate arrays. the virtex-e fam- ily includes the nine members in ta bl e 1 . building on experience gained from virtex fpgas, the virtex-e family is an evolutionary step forward in program- mable logic design. combining a wide variety of program- mable system features, a rich hierarchy of fast, flexible interconnect resources, and advanced process technology, the virtex-e family delivers a high-speed and high-capacity programmable logic solution that enhances design flexibility while reducing time-to-market. virtex-e architecture virtex-e devices feature a flexible, regular architecture that comprises an array of configurable logic blocks (clbs) sur- rounded by programmable input/output blocks (iobs), all interconnected by a rich hierarchy of fast, versatile routing table 1: virtex-e field-programmable gate array family members device system gates logic gates clb array logic cells differential i/o pairs user i/o blockram bits distributed ram bits XCV50E 71,693 20,736 16 x 24 1,728 83 176 65,536 24,576 xcv100e 128,236 32,400 20 x 30 2,700 83 196 81,920 38,400 xcv200e 306,393 63,504 28 x 42 5,292 119 284 114,688 75,264 xcv300e 411,955 82,944 32 x 48 6,912 137 316 131,072 98,304 xcv400e 569,952 129,600 40 x 60 10,800 183 404 163,840 153,600 xcv600e 985,882 186,624 48 x 72 15,552 247 512 294,912 221,184 xcv1000e 1,569,178 331,776 64 x 96 27,648 281 660 393,216 393,216 xcv1600e 2,188,742 419,904 72 x 108 34,992 344 724 589,824 497,664 xcv2000e 2,541,952 518,400 80 x 120 43,200 344 804 655,360 614,400 xcv2600e 3,263,755 685,584 92 x 138 57,132 344 804 753,664 812,544 xcv3200e 4,074,387 876,096 104 x 156 73,008 344 804 851,968 1,038,336
virtex ? -e 1.8 v field programmable gate arrays r ds022-1 (v2.3) july 17, 2002 www.xilinx.com module 1 of 4 production product specification 1-800-255-7778 3 resources. the abundance of routing resources permits the virtex-e family to accommodate even the largest and most complex designs. virtex-e fpgas are sram-based, and are customized by loading configuration data into internal memory cells. con- figuration data can be read from an external sprom (mas- ter serial mode), or can be written into the fpga (selectmap ? , slave serial, and jtag modes). the standard xilinx foundation series ? and alliance series ? development systems deliver complete design support for virtex-e, covering every aspect from behavioral and schematic entry, through simulation, automatic design translation and implementation, to the creation and down- loading of a configuration bit stream. higher performance virtex-e devices provide better performance than previous generations of fpgas. designs can achieve synchronous system clock rates up to 240 mhz including i/o or 622 mb/s using source synchronous data transmission architech- tures. virtex-e i/os comply fully with 3.3 v pci specifica- tions, and interfaces can be implemented that operate at 33 mhz or 66 mhz. while performance is design-dependent, many designs operate internally at speeds in excess of 133 mhz and can achieve over 311 mhz. ta ble 2 shows performance data for representative circuits, using worst-case timing parameters. virtex-e device/package combinations and maximum i/o ta ble 2 : performance for common circuit functions function bits virtex-e (-7) register-to-register adder 16 64 4.3 ns 6.3 ns pipelined multiplier 8 x 8 16 x 16 4.4 ns 5.1 ns address decoder 16 64 3.8 ns 5.5 ns 16:1 multiplexer 4.6 ns parity tree 9 18 36 3.5 ns 4.3 ns 5.9 ns chip-to-chip hstl class iv lvttl,16ma, fast slew lvd s lvpecl table 3: virtex-e family maximum user i/o by device/package (excluding dedicated clock pins) xcv 50e xcv 100e xcv 200e xcv 300e xcv 400e xcv 600e xcv 1000e xcv 1600e xcv 2000e xcv 2600e xcv 3200e cs144 94 94 94 pq240 158 158 158 158 158 hq240 158 158 bg352 196 260 260 bg432 316 316 316 bg560 404 404 404 404 404 fg256 176 176 176 176 fg456 284 312 fg676 404 444 fg680 512 512 512 512 fg860 660 660 660 fg900 512 660 700 fg1156 660 724 804 804 804
virtex ? -e 1.8 v field programmable gate arrays r module 1 of 4 www.xilinx.com ds022-1 (v2.3) july 17, 2002 4 1-800-255-7778 production product specification virtex-e ordering information revision history the following table shows the revision history for this document. figure 1: ordering information date version revision 12/7/99 1.0 initial xilinx release. 1/10/00 1.1 re-released with spd.txt v. 1.18, fg860/900/1156 package information, and additional dll, select ram and selecti/o information. 1/28/00 1.2 added delay measurement methodology table, updated selecti/o section, figures 30, 54, & 55, text explaining table 5, t byp values, buffered hex line info, p. 8, i/o timing measurement notes, notes for tables 15, 16, and corrected f1156 pinout table footnote references. 2/29/00 1.3 updated pinout tables, v cc page 20, and corrected figure 20. 5/23/00 1.4 correction to table on p. 22. 7/10/00 1.5  numerous minor edits.  data sheet upgraded to preliminary.  preview -8 numbers added to virtex-e electrical characteristics tables. 8/1/00 1.6  reformatted entire document to follow new style guidelines.  changed speed grade values in tables on pages 35-37. 9/20/00 1.7  min values added to virtex-e electrical characteristics tables.  xcv2600e and xcv3200e numbers added to virtex-e electrical characteristics tables (module 3).  corrected user i/o count for xcv100e device in table 1 (module 1).  changed several pins to ? no connect in the xcv100e ? and removed duplicate v ccint pins in table ~ (module 4).  changed pin j10 to ? no connect in xcv600e ? in table 74 (module 4).  changed pin j30 to ? vref option only in the xcv600e ? in table 74 (module 4).  corrected pair 18 in table 75 (module 4) to be ? ao in the xcv1000e, xcv1600e ? . example: xcv300e-6pq240c device type temperature range c = commercial (tj = 0 c to +85 c) i = industrial (tj = -40 c to +100 c) number of pins package type bg = ball grid array fg = fine pitch ball grid array hq = high heat dissipation speed grade (-6, -7, -8) ds022_043_072000
virtex ? -e 1.8 v field programmable gate arrays r ds022-1 (v2.3) july 17, 2002 www.xilinx.com module 1 of 4 production product specification 1-800-255-7778 5 virtex-e data sheet the virtex-e data sheet contains the following modules:  ds022-1, virtex-e 1.8v fpgas: introduction and ordering information (module 1)  ds022-2, virtex-e 1.8v fpgas: functional description (module 2)  ds022-3, virtex-e 1.8v fpgas: dc and switching characteristics (module 3)  ds022-4, virtex-e 1.8v fpgas: pinout tables (module 4) 11/20/00 1.8  upgraded speed grade -8 numbers in virtex-e electrical characteristics tables to preliminary.  updated minimums in table 13 and added notes to table 14.  added to note 2 to absolute maximum ratings .  changed speed grade -8 numbers for t shcko32 , t reg , t bccs , and t ickof .  changed all minimum hold times to ? 0.4 under global clock setup and hold for lvttl standard, with dll .  revised maximum t dllpw in -6 speed grade for dll timing parameters .  changed gclk0 to ba22 for fg860 package in table 46. 2/12/01 1.9  revised footnote for table 14.  added numbers to virtex-e electrical characteristics tables for xcv1000e and xcv2000e devices.  updated table 27 and table 78 to include values for xcv400e and xcv600e devices.  revised table 62 to include pinout information for the xcv400e and xcv600e devices in the bg560 package.  updated footnotes 1 and 2 for table 76 to include xcv2600e and xcv3200e devices. 4/2/01 2.0  updated numerous values in virtex-e switching characteristics tables.  converted data sheet to modularized format. see the virtex-e data sheet section. 10/25/01 2.1  updated the virtex-e device/package combinations and maximum i/o table to show xcv3200e in the fg1156 package. 11/09/01 2.2  minor edits. 07/17/02 2.3  data sheet designation upgraded from preliminary to production. date version revision
virtex ? -e 1.8 v field programmable gate arrays r module 1 of 4 www.xilinx.com ds022-1 (v2.3) july 17, 2002 6 1-800-255-7778 production product specification
? 2000-2002 xilinx, inc. all rights reserved. all xilinx trademarks, registered trademarks, patents, and disclaimers are as lis ted at http://www.xilinx.com/legal.htm . all other trademarks and registered trademarks are the property of their respective owners. all specifications are subject to c hange without notice. ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 1 architectural description virtex-e array the virtex-e user-programmable gate array, shown in figure 1 , comprises two major configurable elements: con- figurable logic blocks (clbs) and input/output blocks (iobs). ? clbs provide the functional elements for constructing logic  iobs provide the interface between the package pins and the clbs clbs interconnect through a general routing matrix (grm). the grm comprises an array of routing switches located at the intersections of horizontal and vertical routing channels. each clb nests into a versablock? that also provides local routing resources to connect the clb to the grm. the versaring? i/o interface provides additional routing resources around the periphery of the device. this routing improves i/o routability and facilitates pin locking. the virtex-e architecture also includes the following circuits that connect to the grm.  dedicated block memories of 4096 bits each  clock dlls for clock-distribution delay compensation and clock domain control  3-state buffers (bufts) associated with each clb that drive dedicated segmentable horizontal routing resources values stored in static memory cells control the configurable logic elements and interconnect resources. these values load into the memory cells on power-up, and can reload if necessary to change the function of the device. input/output block the virtex-e iob, figure 2 , features selecti/o+ inputs and outputs that support a wide variety of i/o signalling stan- dards, see table 1 . the three iob storage elements function either as edge-triggered d-type flip-flops or as level-sensitive latches. each iob has a clock signal (clk) shared by the three flip-flops and independent clock enable signals for each flip-flop. 0 virtex?-e 1.8 v field programmable gate arrays ds022-2 (v2.6) november 19, 2002 00 production product specification r figure 1: virtex-e architecture overview dll dll iobs iobs versaring versaring ds022_01_121099 clbs brams brams brams clbs clbs brams clbs dll dll dll dll dll dll figure 2: virtex-e input/output block (iob) obuft ibuf vref ds022_02_091300 sr clk ice oce o i iq t tce d ce q sr d ce q sr d ce q sr pad programmable delay weak keeper
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 2 1-800-255-7778 production product specification in addition to the clk and ce control signals, the three flip-flops share a set/reset (sr). for each flip-flop, this sig- nal can be independently configured as a synchronous set, a synchronous reset, an asynchronous preset, or an asyn- chronous clear. the output buffer and all of the iob control signals have independent polarity controls. all pads are protected against damage from electrostatic discharge (esd) and from over-voltage transients. after configuration, clamping diodes are connected to v cco with the exception of lvcmos18, lvcmos25, gtl, gtl+, lvds, and lvpecl. optional pull-up, pull-down and weak-keeper circuits are attached to each pad. prior to configuration all outputs not involved in configuration are forced into their high-imped- ance state. the pull-down resistors and the weak-keeper circuits are inactive, but i/os can optionally be pulled up. the activation of pull-up resistors prior to configuration is controlled on a global basis by the configuration mode pins. if the pull-up resistors are not activated, all the pins are in a high-impedance state. consequently, external pull-up or pull-down resistors must be provided on pins required to be at a well-defined logic level prior to configuration. all virtex-e iobs support i eee 1 149.1-compatible bound- ary scan testing. input path the virtex-e iob input path routes the input signal directly to internal logic and/ or through an optional input flip-flop. an optional delay element at the d-input of this flip-flop elim- inates pad-to-pad hold time. the delay is matched to the internal clock-distribution delay of the fpga, and when used, assures that the pad-to-pad hold time is zero. each input buffer can be configured to conform to any of the low-voltage signalling standards supported. in some of these standards the input buffer utilizes a user-supplied threshold voltage, v ref . the need to supply v ref imposes constraints on which standards can be used in close prox- imity to each other. see i/o banking . there are optional pull-up and pull-down resistors at each user i/o input for use after configuration. their value is in the range 50 ? 100 k ? . output path the output path includes a 3-state output buffer that drives the output signal onto the pad. the output signal can be routed to the buffer directly from the internal logic or through an optional iob output flip-flop. the 3-state control of the output can also be routed directly from the internal logic or through a flip-flip that provides syn- chronous enable and disable. each output driver can be individually programmed for a wide range of low-voltage signalling standards. each output buffer can source up to 24 ma and sink up to 48 ma. drive strength and slew rate controls minimize bus transients. in most signalling standards, the output high voltage depends on an externally supplied v cco voltage. the need to supply v cco imposes constraints on which standards can be used in close proximity to each other. see i/o bank- ing . an optional weak-keeper circuit is connected to each out- put. when selected, the circuit monitors the voltage on the pad and weakly drives the pin high or low to match the input signal. if the pin is connected to a multiple-source sig- nal, the weak keeper holds the signal in its last state if all drivers are disabled. maintaining a valid logic level in this way eliminates bus chatter. since the weak-keeper circuit uses the iob input buffer to monitor the input level, an appropriate v ref voltage must be provided if the signalling standard requires one. the provi- sion of this voltage must comply with the i/o banking rules. i/o banking some of the i/o standards described above require v cco and/or v ref voltages. these voltages are externally sup- plied and connected to device pins that serve groups of iobs, called banks. consequently, restrictions exist about which i/o standards can be combined within a given bank. table 1: supported i/o standards i/o standard output v cco input v cco input v ref board termination voltage (v tt ) lv tt l 3.3 3.3 n / a n / a lvcmos2 2.5 2.5 n/a n/a lvcmos18 1.8 1.8 n/a n/a sstl3 i & ii 3.3 n/a 1.50 1.50 sstl2 i & ii 2.5 n/a 1.25 1.25 gtl n/a n/a 0.80 1.20 gtl+ n/a n/a 1.0 1.50 hstl i 1.5 n/a 0.75 0.75 hstl iii & iv 1.5 n/a 0.90 1.50 ctt 3.3 n/a 1.50 1.50 agp-2x 3.3 n/a 1.32 n/a pci33_3 3.3 3.3 n/a n/a pci66_3 3.3 3.3 n/a n/a blvds & lvds 2.5 n/a n/a n/a lvpecl 3.3 n/a n/a n/a
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 3 eight i/o banks result from separating each edge of the fpga into two banks, as shown in figure 3 . each bank has multiple v cco pins, all of which must be connected to the same voltage. this voltage is determined by the output standards in use. within a bank, output standards can be mixed only if they use the same v cco . compatible standards are shown in table 2 . gtl and gtl+ appear under all voltages because their open-drain outputs do not depend on v cco . some input standards require a user-supplied threshold voltage, v ref . in this case, certain user-i/o pins are auto- matically configured as inputs for the v ref voltage. approx- imately one in six of the i/o pins in the bank assume this role. the v ref pins within a bank are interconnected internally and consequently only one v ref voltage can be used within each bank. all v ref pins in the bank, however, must be con- nected to the external voltage source for correct operation. within a bank, inputs that require v ref can be mixed with those that do not. however, only one v ref voltage can be used within a bank. in virtex-e, input buffers with lvttl, lvcmos2, lvcmos18, pci33_3, pci66_3 standards are supplied by v cco rather than v ccint . for these standards, only input and output buffers that have the same v cco can be mixed together. the v cco and v ref pins for each bank appear in the device pin-out tables and diagrams. the diagrams also show the bank affiliation of each i/o. within a given package, the number of v ref and v cco pins can vary depending on the size of device. in larger devices, more i/o pins convert to v ref pins. since these are always a super set of the v ref pins used for smaller devices, it is possible to design a pcb that permits migration to a larger device if necessary. all the v ref pins for the largest device anticipated must be connected to the v ref voltage, and not used for i/o. in smaller devices, some v cco pins used in larger devices do not connect within the package. these unconnected pins can be left unconnected externally, or can be connected to the v cco voltage to permit migration to a larger device if necessary. configurable logic blocks the basic building block of the virtex-e clb is the logic cell (lc). an lc includes a 4-input function generator, carry logic, and a storage element. the output from the function generator in each lc drives both the clb output and the d input of the flip-flop. each virtex-e clb contains four lcs, organized in two similar slices, as shown in figure 4 . figure 5 shows a more detailed view of a single slice. in addition to the four basic lcs, the virtex-e clb contains logic that combines function generators to provide functions of five or six inputs. consequently, when estimating the number of system gates provided by a given device, each clb counts as 4.5 lcs. look-up tables virtex-e function generators are implemented as 4-input look-up tables (luts). in addition to operating as a function generator, each lut can provide a 16 x 1-bit synchronous ram. furthermore, the two luts within a slice can be com- bined to create a 16 x 2-bit or 32 x 1-bit synchronous ram, or a 16 x 1-bit dual-port synchronous ram. the virtex-e lut can also provide a 16-bit shift register that is ideal for capturing high-speed or burst-mode data. this mode can also be used to store data in applications such as digital signal processing. figure 3: virtex-e i/o banks table 2: compatible output standards v cco compatible standards 3.3 v pci, lvttl, sstl3 i, sstl3 ii, ctt, agp, gtl, gtl+, lvpecl 2.5 v sstl2 i, sstl2 ii, lvcmos2, gtl, gtl+, blvds, lvds 1.8 v lvcmos18, gtl, gtl+ 1.5 v hstl i, hstl iii, hstl iv, gtl, gtl+ ds022_03_121799 bank 0 gclk3 gclk2 gclk1 gclk0 bank 1 bank 5 bank 4 virtexe device bank 7 bank 6 bank 2 bank 3
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 4 1-800-255-7778 production product specification storage elements the storage elements in the virtex-e slice can be config- ured either as edge-triggered d-type flip-flops or as level-sensitive latches. the d inputs can be driven either by the function generators within the slice or directly from slice inputs, bypassing the function generators. in addition to clock and clock enable signals, each slice has synchronous set and reset signals (sr and by). sr figure 4: 2-slice virtex-e clb f1 f2 f3 f4 g1 g2 g3 g4 carry & control carry & control carry & control carry & control lut cin cin cout cout yq xq xq yq x xb y yb yb y bx by bx by g1 g2 g3 g4 f1 f2 f3 f4 slice 1 slice 0 xb x lut lut lut d ce q rc sp d ce q rc sp d ce q rc sp d ce q rc sp ds022_04_121799 figure 5: detailed view of virtex-e slice by f5in sr clk ce bx yb y yq xb x xq g4 g3 g2 g1 f4 f3 f2 f1 cin 0 1 1 0 f5 f5 ds022_05_092000 cout cy d ce q d ce q f6 ck wso wsh we a4 by dg bx di di o we i3 i2 i1 i0 lut cy i3 i2 i1 i0 o di we lut init init rev rev
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 5 forces a storage element into the initialization state speci- fied for it in the configuration. by forces it into the opposite state. alternatively, these signals can be configured to oper- ate asynchronously. all of the control signals are indepen- dently invertible, and are shared by the two flip-flops within the slice. additional logic the f5 multiplexer in each slice combines the function gen- erator outputs. this combination provides either a function generator that can implement any 5-input function, a 4:1 multiplexer, or selected functions of up to nine inputs. similarly, the f6 multiplexer combines the outputs of all four function generators in the clb by selecting one of the f5-multiplexer outputs. this permits the implementation of any 6-input function, an 8:1 multiplexer, or selected func- tions of up to 19 inputs. each clb has four direct feedthrough paths, two per slice. these paths provide extra data input lines or additional local routing that does not consume logic resources. arithmetic logic dedicated carry logic provides fast arithmetic carry capabil- ity for high-speed arithmetic functions. the virtex-e clb supports two separate carry chains, one per slice. the height of the carry chains is two bits per clb. the arithmetic logic includes an xor gate that allows a 2-bit full adder to be implemented within a slice. in addition, a dedicated and gate improves the efficiency of multiplier implementation. the dedicated carry path can also be used to cascade function generators for implementing wide logic functions. bufts each virtex-e clb contains two 3-state drivers (bufts) that can drive on-chip busses. see dedicated routing . each virtex-e buft has an independent 3-state control pin and an independent input pin. block selectram virtex-e fpgas incorporate large block selectram memo- ries. these complement the distributed selectram memo- ries that provide shallow ram structures implemented in clbs. block selectram memory blocks are organized in columns, starting at the left (column 0) and right outside edges and inserted every 12 clb columns (see notes for smaller devices). each memory block is four clbs high, and each memory column extends the full height of the chip, immedi- ately adjacent (to the right, except for column 0) of the clb column locations indicated in table 3 . ta ble 4 shows the amount of block selectram memory that is available in each virtex-e device. as illustrated in figure 6 , each block selectram cell is a fully synchronous dual-ported (true dual port) 4096-bit ram with independent control signals for each port. the data widths of the two ports can be configured indepen- dently, providing built-in bus-width conversion. ta ble 3 : clb/block ram column locations xcv device /col. 01224364860728496108 120 138 156 50e columns 0, 6, 18, & 24 100e columns 0, 12, 18, & 30 200e columns 0, 12, 30, & 42 300e ? 400e ? 600e ? 1000e ? 1600e ??? ?? 2000e ? 2600e ??? ??? 3200e ??? ??? ta ble 4 : virtex-e block selectram amounts virtex-e device # of blocks block selectram bits XCV50E 16 65,536 xcv100e 20 81,920 xcv200e 28 114,688 xcv300e 32 131,072 xcv400e 40 163,840 xcv600e 72 294,912 xcv1000e 96 393,216 xcv1600e 144 589,824 xcv2000e 160 655,360 xcv2600e 184 753,664 xcv3200e 208 851,968
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 6 1-800-255-7778 production product specification table 5 shows the depth and width aspect ratios for the block selectram. the virtex-e block selectram also includes dedicated routing to provide an efficient interface with both clbs and other block selectrams. refer to xapp130 for block selectram timing waveforms. programmable routing matrix it is the longest delay path that limits the speed of any worst-case design. consequently, the virtex-e routing architecture and its place-and-route software were defined in a joint optimization process. this joint optimization mini- mizes long-path delays, and consequently, yields the best system performance. the joint optimization also reduces design compilation times because the architecture is software-friendly. design cycles are correspondingly reduced due to shorter design iteration times. local routing the versablock provides local routing resources (see figure 7 ), providing three types of connections:  interconnections among the luts, flip-flops, and grm  internal clb feedback paths that provide high-speed connections to luts within the same clb, chaining them together with minimal routing delay  direct paths that provide high-speed connections between horizontally adjacent clbs, eliminating the delay of the grm. general purpose routing most virtex-e signals are routed on the general purpose routing, and consequently, the majority of interconnect resources are associated with this level of the routing hier- archy. general-purpose routing resources are located in horizontal and vertical routing channels associated with the clb rows and columns and are as follows:  adjacent to each clb is a general routing matrix (grm). the grm is the switch matrix through which horizontal and vertical routing resources connect, and is also the means by which the clb gains access to the general purpose routing.  24 single-length lines route grm signals to adjacent grms in each of the four directions.  72 buffered hex lines route grm signals to another grms six-blocks away in each one of the four directions. organized in a staggered pattern, hex lines are driven only at their endpoints. hex-line signals can be accessed either at the endpoints or at the midpoint (three blocks from the source). one third of the hex lines are bidirectional, while the remaining ones are uni-directional.  12 longlines are buffered, bidirectional wires that distribute signals across the device quickly and efficiently. vertical longlines span the full height of the device, and horizontal ones span the full width of the device. i/o routing virtex-e devices have additional routing resources around their periphery that form an interface between the clb array and the iobs. this additional routing, called the versaring, facilitates pin-swapping and pin-locking, such that logic redesigns can adapt to existing pcb layouts. time-to-market is reduced, since pcbs and other system components can be manufactured while the logic design is still in progress. figure 6: dual-port block selectram table 5: block selectram port aspect ratios width depth addr bus data bus 1 4096 addr<11:0> data<0> 2 2048 addr<10:0> data<1:0> 4 1024 addr<9:0> data<3:0> 8 512 addr<8:0> data<7:0> 16 256 addr<7:0> data<15:0> web enb rstb clkb addrb[#:0] dib[#:0] wea ena rsta clka addra[#:0] dia[#:0] doa[#:0] dob[#:0] ramb4_s#_s# ds022_06_121699 figure 7: virtex-e local routing xcve_ds_007 clb grm to adjacent grm to adjacent grm direct connection to adjacent clb to adjacent grm to adjacent grm direct connection to adjacent clb
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 7 dedicated routing some classes of signal require dedicated routing resources to maximize performance. in the virtex-e architecture, dedi- cated routing resources are provided for two classes of signal.  horizontal routing resources are provided for on-chip 3-state busses. four partitionable bus lines are provided per clb row, permitting multiple busses within a row, as shown in figure 8 .  two dedicated nets per clb propagate carry signals vertically to the adjacent clb.global clock distribution network  dll location clock routing clock routing resources distribute clocks and other signals with very high fanout throughout the device. virtex-e devices include two tiers of clock routing resources referred to as global and local clock routing resources.  the global routing resources are four dedicated global nets with dedicated input pins that are designed to distribute high-fanout clock signals with minimal skew. each global clock net can drive all clb, iob, and block ram clock pins. the global nets can be driven only by global buffers. there are four global buffers, one for each global net.  the local clock routing resources consist of 24 backbone lines, 12 across the top of the chip and 12 across bottom. from these lines, up to 12 unique signals per column can be distributed via the 12 longlines in the column. these local resources are more flexible than the global resources since they are not restricted to routing only to clock pins. global clock distribution virtex-e provides high-speed, low-skew clock distribution through the global routing resources described above. a typical clock distribution net is shown in figure 9 . four global buffers are provided, two at the top center of the device and two at the bottom center. these drive the four global nets that in turn drive any clock pin. four dedicated clock pads are provided, one adjacent to each of the global buffers. the input to the global buffer is selected either from these pads or from signals in the gen- eral purpose routing. digital delay-locked loops there are eight dlls (delay-locked loops) per device, with four located at the top and four at the bottom, figure 10 . the dlls can be used to eliminate skew between the clock input pad and the internal clock input pins throughout the device. each dll can drive two global clock networks.the dll monitors the input clock and the distrib- uted clock, and automatically adjusts a clock delay element. additional delay is introduced such that clock edges arrive at internal flip-flops synchronized with clock edges arriving at the input. in addition to eliminating clock-distribution delay, the dll provides advanced control of multiple clock domains. the dll provides four quadrature phases of the source clock, and can double the clock or divide the clock by 1.5, 2, 2.5, 3, 4, 5, 8, or 16. figure 8: buft connections to dedicated horizontal bus lines clb clb clb clb buft_c.eps tri-state lines figure 9: global clock distribution network global clock spine global clock column gclkpad2 gclkbuf2 gclkpad3 gclkbuf3 gclkbuf1 gclkpad1 gclkbuf0 gclkpad0 global clock rows xcve_009
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 8 1-800-255-7778 production product specification the dll also operates as a clock mirror. by driving the out- put from a dll off-chip and then back on again, the dll can be used to de-skew a board level clock among multiple devices. to guarantee that the system clock is operating correctly prior to the fpga starting up after configuration, the dll can delay the completion of the configuration process until after it has achieved lock. for more information about dll functionality, see the design consideration section of the data sheet. boundary scan virtex-e devices support all the mandatory boundary-scan instructions specified in the ieee standard 1149.1. a test access port (tap) and registers are provided that imple- ment the extest, intest, sample/preload, bypass, idcode, usercode, and highz instructions. the tap also supports two internal scan chains and configura- tion/readback of the device. the jtag input pins (tdi, tms, tck) do not have a v cco requirement and operate with either 2.5 v or 3.3 v input sig- nalling levels. the output pin (tdo) is sourced from the v cco in bank 2, and for proper operation of lvttl 3.3 v lev- els, the bank should be supplied with 3.3 v. boundary-scan operation is independent of individual iob configurations, and unaffected by package type. all iobs, including un-bonded ones, are treated as independent 3-state bidirectional pins in a single scan chain. retention of the bidirectional test capability after configuration facilitates the testing of external interconnections, provided the user design or application is turned off. ta ble 6 lists the boundary-scan instructions supported in virtex-e fpgas. internal signals can be captured during extest by connecting them to un-bonded or unused iobs. they can also be connected to the unused outputs of iobs defined as unidirectional input pins. before the device is configured, all instructions except user1 and user2 are available. after configuration, all instructions are available. during configuration, it is recom- mended that those operations using the boundary-scan register (sample/preload, intest, extest) not be performed. in addition to the test instructions outlined above, the boundary-scan circuitry can be used to configure the fpga, and also to read back the configuration data. figure 11 is a diagram of the virtex-e series boundary scan logic. it includes three bits of data register per iob, the ieee 1149.1 test access port controller, and the instruction register with decodes. figure 10: dll locations xcve_0010 dll dll primary dlls secondary dlls secondary dlls dll dll dll dll dll dll
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 9 instruction set the virtex-e series boundary-scan instruction set also includes instructions to configure the device and read back configuration data (cfg_in, cfg_out, and jstart). the complete instruction set is coded as shown in ta b l e 6 .. figure 11: virtex-e family boundary scan logic d q d q iob iob iob iob iob iob iob iob iob iob iob iob iob m u x bypass register iob iob tdo tdi iob iob iob 1 0 1 0 1 0 1 0 1 0 sd le dq d q d q 1 0 1 0 1 0 1 0 dq le sd sd le dq sd le dq iob d q 1 0 dq le sd iob.t data in iob.i iob.q iob.t iob.i shift/ capture clock data register dataout update extest x9016 instruction register table 6: boundary scan instructions boundary-scan command binary code(4:0) description extest 00000 enables boundary-scan extest operation sample/ preload 00001 enables boundary-scan sample/preload operation user1 00010 access user-defined register 1 user2 00011 access user-defined register 2 cfg_out 00100 access the configuration bus for read operations. cfg_in 00101 access the configuration bus for write operations. intest 00111 enables boundary-scan intest operation usercode 01000 enables shifting out user code idcode 01001 enables shifting out of id code highz 01010 3-states output pins while enabling the bypass register jstart 01100 clock the start-up sequence when startupclk is tck bypass 11111 enables bypass reserved all other codes xilinx reserved instructions ta ble 6 : boundary scan instructions (continued) boundary-scan command binary code(4:0) description
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 10 1-800-255-7778 production product specification data registers the primary data register is the boundary scan register. for each iob pin in the fpga, bonded or not, it includes three bits for in, out, and 3-state control. non-iob pins have appropriate partial bit population if input-only or output-only. each extest captured-or state captures all in, out, and 3-state pins. the other standard data register is the single flip-flop bypass register. it synchronizes data being passed through the fpga to the next downstream boundary scan device. the fpga supports up to two additional internal scan chains that can be specified using the bscan macro. the macro provides two user pins (sel1 and sel2) which are decodes of the user1 and user2 instructions respec- tively. for these instructions, two corresponding pins (t do1 and tdo2) allow user scan data to be shifted out of tdo. likewise, there are individual clock pins (drck1 and drck2) for each user register. there is a common input pin (tdi) and shared output pins that represent the state of the tap controller (reset, shift, and update). bit sequence the order within each iob is: in, out, 3-state. the input-only pins contribute only the in bit to the boundary scan i/o data register, while the output-only pins contributes all three bits. from a cavity-up view of the chip (as shown in epic), start- ing in the upper right chip corner, the boundary scan data-register bits are ordered as shown in figure 12 . bsdl (boundary scan description language) files for vir- tex-e series devices are available on the xilinx web site in the file download area. identification registers the idcode register is supported. by using the idcode, the device connected to the jtag port can be determined. the idcode register has the following binary format: vvvv:ffff:fffa:aaaa:aaaa:cccc:cccc:ccc1 where v = the die version number f = the family code (05 for virtex-e family) a = the number of clb rows (ranges from 16 for XCV50E to 104 for xcv3200e) c = the company code (49h for xilinx) the usercode register is supported. by using the user- code, a user-programmable identification code can be loaded and shifted out for examination. the identification code (see ta ble 7 ) is embedded in the bitstream during bit- stream generation and is valid only after configuration. note: attempting to load an incorrect bitstream causes configuration to fail and can damage the device. including boundary scan in a design since the boundary scan pins are dedicated, no special ele- ment needs to be added to the design unless an internal data register (user1 or user2) is desired. if an internal data register is used, insert the boundary scan symbol and connect the necessary pins as appropriate. figure 12: boundary scan bit sequence bit 0 ( tdo end) bit 1 bit 2 right half of top-edge iobs (right to left) gclk2 gclk3 left half of top-edge iobs (right to left) left-edge iobs (top to bottom) m1 m0 m2 left half of bottom-edge iobs (left to right) gclk1 gclk0 right half of bottom-edge iobs (left to right) done prog right-edge iobs (bottom to top) cclk (tdi end) 990602001 ta ble 7 : idcodes assigned to virtex-e fpgas fpga idcode XCV50E v0a10093h xcv100e v0a14093h xcv200e v0a1c093h xcv300e v0a20093h xcv400e v0a28093h xcv600e v0a30093h xcv1000e v0a40093h xcv1600e v0a48093h xcv2000e v0a50093h xcv2600e v0a5c093h xcv3200e v0a68093h
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 11 development system virtex-e fpgas are supported by the xilinx foundation and alliance series cae tools. the basic methodology for virtex-e design consists of three interrelated steps: design entry, implementation, and verification. industry-standard tools are used for design entry and simulation (for example, synopsys fpga express), while xilinx provides proprietary architecture-specific tools for implementation. the xilinx development system is integrated under the xilinx design manager (xdm ? ) software, providing design- ers with a common user interface regardless of their choice of entry and verification tools. the xdm software simplifies the selection of implementation options with pull-down menus and on-line help. application programs ranging from schematic capture to placement and routing (par) can be accessed through the xdm software. the program command sequence is gener- ated prior to execution, and stored for documentation. several advanced software features facilitate virtex-e design. rpms, for example, are schematic-based macros with relative location constraints to guide their placement. they help ensure optimal implementation of common functions. for hdl design entry, the xilinx fpga foundation develop- ment system provides interfaces to the following synthesis design environments.  synopsys (fpga compiler, fpga express)  exemplar (spectrum)  synplicity (synplify) for schematic design entry, the xilinx fpga foundation and alliance development system provides interfaces to the following schematic-capture design environments.  mentor graphics v8 (design architect, quicksim ii)  viewlogic systems (viewdraw) third-party vendors support many other environments. a standard interface-file specification, electronic design interchange format (edif), simplifies file transfers into and out of the development system. virtex-e fpgas are supported by a unified library of stan- dard functions. this library contains over 400 primitives and macros, ranging from 2-input and gates to 16-bit accumu- lators, and includes arithmetic functions, comparators, counters, data registers, decoders, encoders, i/o functions, latches, boolean functions, multiplexers, shift registers, and barrel shifters. the ? soft macro ? portion of the library contains detailed descriptions of common logic functions, but does not con- tain any partitioning or placement information. the perfor- mance of these macros depends, therefore, on the partitioning and placement obtained during implementation. rpms, on the other hand, do contain predetermined parti- tioning and placement information that permits optimal implementation of these functions. users can create their own library of soft macros or rpms based on the macros and primitives in the standard library. the design environment supports hierarchical design entry, with high-level schematics that comprise major functional blocks, while lower-level schematics define the logic in these blocks. these hierarchical design elements are auto- matically combined by the implementation tools. different design entry tools can be combined within a hierarchical design, thus allowing the most convenient entry method to be used for each portion of the design. design implementation the place-and-route tools (par) automatically provide the implementation flow described in this section. the parti- tioner takes the edif net list for the design and maps the logic into the architectural resources of the fpga (clbs and iobs, for example). the placer then determines the best locations for these blocks based on their interconnec- tions and the desired performance. finally, the router inter- connects the blocks. the par algorithms support fully automatic implementation of most designs. for demanding applications, however, the user can exercise various degrees of control over the pro- cess. user partitioning, placement, and routing information is optionally specified during the design-entry process. the implementation of highly structured designs can benefit greatly from basic floor planning. the implementation software incorporates timing wizard ? timing-driven placement and routing. designers specify tim- ing requirements along entire paths during design entry. the timing path analysis routines in par then recognize these user-specified requirements and accommodate them. timing requirements are entered on a schematic in a form directly relating to the system requirements, such as the tar- geted clock frequency, or the maximum allowable delay between two registers. in this way, the overall performance of the system along entire signal paths is automatically tai- lored to user-generated specifications. specific timing infor- mation for individual nets is unnecessary. design verification in addition to conventional software simulation, fpga users can use in-circuit debugging techniques. because xilinx devices are infinitely reprogrammable, designs can be veri- fied in real time without the need for extensive sets of soft- ware simulation vectors. the development system supports both software simulation and in-circuit debugging techniques. for simulation, the system extracts the post-layout timing information from the design database, and back-annotates this information into the net list for use by the simulator. alternatively, the user can verify timing-critical portions of the design using the trce ? static timing analyzer.
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 12 1-800-255-7778 production product specification for in-circuit debugging, an optional download and read- back cable is available. this cable connects the fpga in the target system to a pc or workstation. after downloading the design into the fpga, the designer can single-step the logic, readback the contents of the flip-flops, and so observe the internal logic state. simple modifications can be down- loaded into the system in a matter of minutes. configuration virtex-e devices are configured by loading configuration data into the internal configuration memory. note that attempting to load an incorrect bitstream causes configura- tion to fail and can damage the device. some of the pins used for configuration are dedicated pins, while others can be re-used as general purpose inputs and outputs once configuration is complete. the following are dedicated pins:  mode pins (m2, m1, m0)  configuration clock pin (cclk)  program pin  done pin  boundary-scan pins (tdi, tdo, tms, tck) depending on the configuration mode chosen, cclk can be an output generated by the fpga, or can be generated externally and provided to the fpga as an input. the program pin must be pulled high prior to reconfiguration. note that some configuration pins can act as outputs. for correct operation, these pins require a v cco of 3.3 v or 2.5 v. at 3.3 v the pins operate as lvttl, and at 2.5 v they operate as lvcmos. all affected pins fall in banks 2 or 3. the configuration pins needed for selectmap (cs, write) are located in bank 1. configuration modes virtex-e supports the following four configuration modes.  slave-serial mode  master-serial mode  selectmap mode  boundary-scan mode (jtag) the configuration mode pins (m2, m1, m0) select among these configuration modes with the option in each case of having the iob pins either pulled up or left floating prior to configuration. the selection codes are listed in ta b l e 8 . configuration through the boundary-scan port is always available, independent of the mode selection. selecting the boundary-scan mode simply turns off the other modes. the three mode pins have internal pull-up resistors, and default to a logic high if left unconnected. however, it is recom- mended to drive the configuration mode pins externally. table 8: configuration codes configuration mode m2 m1 m0 cclk direction data width serial d out configuration pull-ups master-serial mode 0 0 0 out 1 yes no boundary-scan mode 1 0 1 n/a 1 no no selectmap mode 1 1 0 in 8 no no slave-serial mode 1 1 1 in 1 yes no master-serial mode 1 0 0 out 1 yes yes boundary-scan mode 0 0 1 n/a 1 no yes selectmap mode 0 1 0 in 8 no yes slave-serial mode 0 1 1 in 1 yes yes
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 13 table 9 lists the total number of bits required to configure each device. slave-serial mode in slave-serial mode, the fpga receives configuration data in bit-serial form from a serial prom or other source of serial configuration data. the serial bitstream must be set up at the din input pin a short time before each rising edge of an externally generated cclk. for more detailed information on serial proms, see the prom data sheet at http://www.xilinx.com/bvdocs/publi- cations/ds026.pdf . multiple fpgas can be daisy-chained for configuration from a single source. after a particular fpga has been configured, the data for the next device is routed to the dout pin. the data on the dout pin changes on the rising edge of cclk. the change of dout on the rising edge of cclk differs from previous families, but does not cause a problem for mixed configuration chains. this change was made to improve serial configuration rates for virtex and virtex-e only chains. figure 13 shows a full master/slave system. a virtex-e device in slave-serial mode should be connected as shown in the right-most device. slave-serial mode is selected by applying <111> or <011> to the mode pins (m2, m1, m0). a weak pull-up on the mode pins makes slave serial the default mode if the pins are left uncon- nected. however, it is recommended to drive the configura- tion mode pins externally. figure 14 shows slave-serial mode programming switching characteristics. ta ble 1 0 provides more detail about the characteristics shown in figure 14 . configuration must be delayed until the init pins of all daisy-chained fpgas are high. table 9: virtex-e bitstream lengths device # of configuration bits XCV50E 630,048 xcv100e 863,840 xcv200e 1,442,016 xcv300e 1, 875,648 xcv400e 2,693,440 xcv600e 3,961,632 xcv1000e 6,587,520 xcv1600e 8,308,992 xcv2000e 10,159,648 xcv2600e 12,922,336 xcv3200e 16,283,712 table 10: master/slave serial mode programming switching description figure references symbol values units cclk din setup/hold, slave mode 1/2 t dcc /t ccd 5.0 / 0.0 ns, min din setup/hold, master mode 1/2 t dsck /t ckds 5.0 / 0.0 ns, min dout 3t cco 12.0 ns, max high time 4t cch 5.0 ns, min low time 5t ccl 5.0 ns, min maximum frequency f cc 66 mhz, max frequency tolerance, master mode with respect to nominal +45% ? 30%
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 14 1-800-255-7778 production product specification . master-serial mode in master-serial mode, the cclk output of the fpga drives a xilinx serial prom that feeds bit-serial data to the din input. the fpga accepts this data on each rising cclk edge. after the fpga has been loaded, the data for the next device in a daisy-chain is presented on the dout pin after the rising cclk edge. the interface is identical to slave-serial except that an inter- nal oscillator is used to generate the configuration clock (cclk). a wide range of frequencies can be selected for cclk, which always starts at a slow default frequency. con- figuration bits then switch cclk to a higher frequency for the remainder of the configuration. switching to a lower fre- quency is prohibited. the cclk frequency is set using the configrate option in the bitstream generation software. the maximum cclk fre- quency that can be selected is 60 mhz. when selecting a cclk frequency, ensure that the serial prom and any daisy-chained fpgas are fast enough to support the clock rate. on power-up, the cclk frequency is approximately 2.5 mhz. this frequency is used until the configrate bits have been loaded when the frequency changes to the selected configrate. unless a different frequency is speci- fied in the design, the default configrate is 4 mhz. in a full master/slave system ( figure 13 ), the left-most device operates in master-serial mode. the remaining devices operate in slave-serial mode. the sprom reset pin is driven by init , and the ce input is driven by done. there is the potential for contention on the done pin, depending on the start-up sequence options chosen. the sequence of operations necessary to configure a virtex-e fpga serially appears in figure 15 . figure 13: master/slave serial mode circuit diagram virtex-e master serial virtex-e, xc4000xl, slave xc1701l program m2 m0 m1 dout cclk clk 3.3v data ce ceo reset/oe done din init init done program program cclk din dout m2 m0 m1 (low reset option used) 4.7 k xcve_ds_013 n/c n/c figure 14: slave-serial mode programming switching characteristics 4 t cch 3 t cco 5 t ccl 2 t ccd 1 t dcc din cclk dout (output) x5379_a figure 15: serial configuration flowchart apply power set program = high release init if used to delay configuration load a configuration bit high low fpga makes a final clearing pass and releases init when finished. fpga starts to clear configuration memory. ds009_15_111799 configuration completed end of bitstream? yes no once per bitstream, fpga checks data using crc and pulls init low on error. if no crc errors found, fpga enters start-up phase causing done to go high. init?
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 15 figure 16 shows the timing of master-serial configuration. master-serial mode is selected by a <000> or <100> on the mode pins (m2, m1, m0). ta ble 1 0 shows the timing infor- mation for figure 16 . at power-up, v cc must rise from 1.0 v to v cc min in less than 50 ms, otherwise delay configuration by pulling program low until v cc is valid. selectmap mode the selectmap mode is the fastest configuration option. byte-wide data is written into the fpga with a busy flag controlling the flow of data. an external data source provides a byte stream, cclk, a chip select (cs ) signal and a write signal (write ). if busy is asserted (high) by the fpga, the data must be held until busy goes low. data can also be read using the selectmap mode. if write is not asserted, configuration data is read out of the fpga as part of a readback operation. after configuration, the pins of the selectmap port can be used as additional user i/o. alternatively, the port can be retained to permit high-speed 8-bit readback. retention of the selectmap port is selectable on a design-by-design basis when the bitstream is generated. if retention is selected, prohibit constraints are required to prevent the selectmap-port pins from being used as user i/o. multiple virtex-e fpgas can be configured using the selectmap mode, and be made to start-up simultaneously. to configure multiple devices in this way, wire the individual cclk, data, write , and busy pins of all the devices in parallel. the individual devices are loaded separately by asserting the cs pin of each device in turn and writing the appropriate data. see ta bl e 1 1 for selectmap write timing characteristics. write write operations send packets of configuration data into the fpga. the sequence of operations for a multi-cycle write operation is shown below. note that a configuration packet can be split into many such sequences. the packet does not have to complete within one assertion of cs , illustrated in figure 17 . 1. assert write and cs low. note that when cs is asserted on successive cclks, write must remain either asserted or de-asserted. otherwise, an abort is initiated, as described below. 2. drive data onto d[7:0]. note that to avoid contention, the data source should not be enabled while cs is low and write is high. similarly, while write is high, no more that one cs should be asserted. 3. at the rising edge of cclk: if busy is low, the data is accepted on this clock. if busy is high (from a previous write), the data is not accepted. acceptance instead occurs on the first clock after busy goes low, and the data must be held until this has happened. 4. repeat steps 2 and 3 until all the data has been sent. 5. de-assert cs and write . figure 16: master-serial mode programming switching characteristics serial data in cclk (output) serial dout (output) 1 t dsck 2 t ckds ds022_44_071201
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 16 1-800-255-7778 production product specification a flowchart for the write operation is shown in figure 18 . note that if cclk is slower than f ccnh , the fpga never asserts busy, in this case, the above handshake is unnec- essary, and data can simply be entered into the fpga every cclk cycle. abort during a given assertion of cs , the user cannot switch from a write to a read, or vice-versa. this action causes the cur- rent packet command to be aborted. the device remains busy until the aborted operation has completed. following an abort, data is assumed to be unaligned to word bound- aries, and the fpga requires a new synchronization word prior to accepting any new packets. to initiate an abort during a write operation, de-assert write . at the rising edge of cclk, an abort is initiated, as shown in figure 19 . table 11: selectmap write timing characteristics description symbol units cclk d 0-7 setup/hold 1/2 t smdcc /t smccd 5.0 / 1.7 ns, min cs setup/hold 3/4 t smcscc /t smcccs 7.0 / 1.7 ns, min write setup/hold 5/6 t smccw /t smwcc 7.0 / 1.7 ns, min busy propagation delay 7 t smckby 12.0 ns, max maximum frequency f cc 66 mhz, max maximum frequency with no handshake f ccnh 50 mhz, max figure 17: write operations ds022_45_071702 cclk no write write no write write data[0:7] cs write 3 5 busy 4 6 7 1 2
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 17 boundary-scan mode in the boundary-scan mode, configuration is done through the ieee 1149.1 test access port. note that the program pin must be pulled high prior to reconfiguration. a low on the program pin resets the tap controller and no jtag operations can be performed. figure 18: selectmap flowchart for write operations apply power release init if used to delay configuration on first fpga program from low to high set write = low enter data source set cs = low on first fpga set cs = high apply configuration byte init? high low yes no busy? low high disable data source set write = high when all done pins are released, done goes high and start-up sequences complete. if no errors, later fpgas enter start-up phase releasing done. if no errors, first fpgas enter start-up phase releasing done. once per bitstream, fpga checks data using crc and pulls init low on error. fpga makes a final clearing pass and releases init when finished. fpga starts to clear configuration memory. for any other fpgas ds003_17_090602 repeat sequence a configuration completed sequence a end of data? yes no figure 19: selectmap write abort waveforms cclk cs write abort data[0:7] busy ds022_46_071702
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 18 1-800-255-7778 production product specification configuration through the tap uses the cfg_in instruc- tion. this instruction allows data input on tdi to be con- verted into data packets for the internal configuration bus. the following steps are required to configure the fpga through the boundary-scan port (when using tck as a start-up clock). 1. load the cfg_in instruction into the boundary-scan instruction register (ir). 2. enter the shift-dr (sdr) state. 3. shift a configuration bitstream into tdi. 4. return to run-test-idle (rti). 5. load the jstart instruction into ir. 6. enter the sdr state. 7. clock tck through the startup sequence. 8. return to rti. configuration and readback via the tap is always available. the boundary-scan mode is selected by a <101> or <001> on the mode pins (m2, m1, m0). for details on tap charac- teristics, refer to xapp139. configuration sequence the configuration of virtex-e devices is a three-phase pro- cess. first, the configuration memory is cleared. next, con- figuration data is loaded into the memory, and finally, the logic is activated by a start-up process. configuration is automatically initiated on power-up unless it is delayed by the user, as described below. the configura- tion process can also be initiated by asserting program . the end of the memory-clearing phase is signalled by init going high, and the completion of the entire process is sig- nalled by done going high. the power-up timing of configuration signals is shown in figure 20 . the corresponding timing characteristics are listed in table 12 . delaying configuration init can be held low using an open-drain driver. an open-drain is required since init is a bidirectional open-drain pin that is held low by the fpga while the con- figuration memory is being cleared. extending the time that the pin is low causes the configuration sequencer to wait. thus, configuration is delayed by preventing entry into the phase where data is loaded. start-up sequence the default start-up sequence is that one cclk cycle after done goes high, the global 3-state signal (gts) is released. this permits device outputs to turn on as neces- sary. one cclk cycle later, the global set/reset (gsr) and glo- bal write enable (gwe) signals are released. this permits figure 20: power-up timing configuration signals vali program vcc cclk output or input m0, m1, m2 (required) tpl ticck ds022_020_071201 tpor init table 12: power-up timing characteristics description symbol value units power-on reset 1 t por 2.0 ms, max program latency t pl 100.0 s, max cclk (output) delay t icck 0.5 s, min 4.0 s, max program pulse width t program 300 ns, min notes: 1. t por delay is the initialization time required after v ccint and v cco in bank 2 reach the recommended operating voltage.
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 19 the internal storage elements to begin changing state in response to the logic and the user clock. the relative timing of these events can be changed. in addi- tion, the gts, gsr, and gwe events can be made depen- dent on the done pins of multiple devices all going high, forcing the devices to start synchronously. the sequence can also be paused at any stage until lock has been achieved on any or all dlls. readback the configuration data stored in the virtex-e configuration memory can be readback for verification. along with the configuration data it is possible to readback the contents all flip-flops/latches, lut rams, and block rams. this capa- bility is used for real-time debugging. for more detailed information, see application note xapp138 ? virtex fpga series configuration and readback ? . design considerations this section contains more detailed design information on the following features.  delay-locked loop . . . see page 19  blockram . . . see page 24  selecti/o . . . see page 31 using dlls the virtex-e fpga series provides up to eight fully digital dedicated on-chip delay-locked loop (dll) circuits which provide zero propagation delay, low clock skew between output clock signals distributed throughout the device, and advanced clock domain control. these dedicated dlls can be used to implement several circuits which improve and simplify system level design. introduction as fpgas grow in size, quality on-chip clock distribution becomes increasingly important. clock skew and clock delay impact device performance and the task of managing clock skew and clock delay with conventional clock trees becomes more difficult in large devices. the virtex-e series of devices resolve this potential problem by providing up to eight fully digital dedicated on-chip dll circuits, which pro- vide zero propagation delay and low clock skew between output clock signals distributed throughout the device. each dll can drive up to two global clock routing networks within the device. the global clock distribution network min- imizes clock skews due to loading differences. by monitor- ing a sample of the dll output clock, the dll can compensate for the delay on the routing network, effectively eliminating the delay from the external input port to the indi- vidual clock loads within the device. in addition to providing zero delay with respect to a user source clock, the dll can provide multiple phases of the source clock. the dll can also act as a clock doubler or it can divide the user source clock by up to 16. clock multiplication gives the designer a number of design alternatives. for instance, a 50 mhz source clock doubled by the dll can drive an fpga design operating at 100 mhz. this technique can simplify board design because the clock path on the board no longer distributes such a high-speed signal. a multiplied clock also provides design- ers the option of time-domain-multiplexing, using one circuit twice per clock cycle, consuming less area than two copies of the same circuit. two dlls in can be connected in series to increase the effective clock multiplication factor to four. the dll can also act as a clock mirror. by driving the dll output off-chip and then back in again, the dll can be used to de-skew a board level clock between multiple devices. in order to guarantee the system clock establishes prior to the device ? waking up, ? the dll can delay the completion of the device configuration process until after the dll achieves lock. by taking advantage of the dll to remove on-chip clock delay, the designer can greatly simplify and improve system level design involving high-fanout, high-performance clocks. library dll symbols figure 21 shows the simplified xilinx library dll macro symbol, bufgdll. this macro delivers a quick and effi- cient way to provide a system clock with zero propagation delay throughout the device. figure 22 and figure 23 show the two library dll primitives. these symbols provide access to the complete set of dll features when imple- menting more complex applications. figure 21: simplified dll macro symbol bufgdll 0ns ds022_25_121099 o i
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 20 1-800-255-7778 production product specification bufgdll pin descriptions use the bufgdll macro as the simplest way to provide zero propagation delay for a high-fanout on-chip clock from an external input. this macro uses the ibufg, clkdll and bufg primitives to implement the most basic dll applica- tion as shown in figure 24 . this symbol does not provide access to the advanced clock domain controls or to the clock multiplication or clock divi- sion features of the dll. this symbol also does not provide access to the rst, or locked pins of the dll. for access to these features, a designer must use the library dll prim- itives described in the following sections. source clock input ? i the i pin provides the user source clock, the clock signal on which the dll operates, to the bufgdll. for the buf- gdll macro the source clock frequency must fall in the low frequency range as specified in the data sheet. the buf- gdll requires an external signal source clock. therefore, only an external input port can source the signal that drives the bufgdll i pin. clock output ? o the clock output pin o represents a delay-compensated version of the source clock (i) signal. this signal, sourced by a global clock buffer bufg symbol, takes advantage of the dedicated global clock routing resources of the device. the output clock has a 50-50 duty cycle unless you deacti- vate the duty cycle correction property. clkdll primitive pin descriptions the library clkdll primitives provide access to the com- plete set of dll features needed when implementing more complex applications with the dll. source clock input ? clkin the clkin pin provides the user source clock (the clock signal on which the dll operates) to the dll. the clkin frequency must fall in the ranges specified in the data sheet. a global clock buffer (bufg) driven from another clkdll, one of the global clock input buffers (ibufg), or an io_lvds_dll pin on the same edge of the device (top or bottom) must source this clock signal. there are four io_lvds_dll input pins that can be used as inputs to the dlls. this makes a total of eight usable input pins for dlls in the virtex-e family. feedback clock input ? clkfb the dll requires a reference or feedback signal to provide the delay-compensated output. connect only the clk0 or clk2x dll outputs to the feedback clock input (clkfb) pin to provide the necessary feedback to the dll. the feed- back clock input can also be provided through one of the fol- lowing pins. ibufg - global clock input pad io_lvds_dll - the pin adjacent to ibufg if an ibufg sources the clkfb pin, the following special rules apply. 1. an external input port must source the signal that drives the ibufg i pin. 2. the clk2x output must feedback to the device if both the clk0 and clk2x outputs are driving off chip devices. 3. that signal must directly drive only obufs and nothing else. these rules enable the software determine which dll clock output sources the clkfb pin. reset input ? rst when the reset pin rst activates the locked signal deac- tivates within four source clock cycles. the rst pin, active high, must either connect to a dynamic signal or tied to figure 22: standard dll symbol clkdll figure 23: high frequency dll symbol clkdllhf figure 24: bufgdll schematic clk0 clk90 clk180 clk270 clk2x clkdv locked clkin clkfb rst ds022_26_121099 clkdll clk0 clk180 clkdv locked clkin clkfb rst ds022_027_121099 clkdllhf clk0 clk90 clk180 clk270 clk2x clkdv locked clkin clkfb rst ds022_28_121099 clkdll bufg ibufg o i o i
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 21 ground. as the dll delay taps reset to zero, glitches can occur on the dll clock output pins. activation of the rst pin can also severely affect the duty cycle of the clock out- put pins. furthermore, the dll output clocks no longer de-skew with respect to one another. for these reasons, rarely use the reset pin unless re-configuring the device or changing the input frequency. 2x clock output ? clk2x the output pin clk2x provides a frequency-doubled clock with an automatic 50/50 duty-cycle correction. until the clkdll has achieved lock, the clk2x output appears as a 1x version of the input clock with a 25/75 duty cycle. this behavior allows the dll to lock on the correct edge with respect to source clock. this pin is not available on the clkdllhf primitive. clock divide output ? clkdv the clock divide output pin clkdv provides a lower fre- quency version of the source clock. the clkdv_divide property controls clkdv such that the source clock is divided by n where n is either 1.5, 2, 2.5, 3, 4, 5, 8, or 16. this feature provides automatic duty cycle correction such that the clkdv output pin always has a 50/50 duty cycle, with the exception of noninteger divides in hf mode, where the duty cycle is 1/3 for n=1.5 and 2/5 for n=2.5. 1x clock outputs ? clk[0|90|180|270] the 1x clock output pin clk0 represents a delay-compen- sated version of the source clock (clkin) signal. the clkdll primitive provides three phase-shifted versions of the clk0 signal while clkdllhf provides only the 180 phase-shifted version. the relationship between phase shift and the corresponding period shift appears in table 13 . the timing diagrams in figure 25 illustrate the dll clock output characteristics. the dll provides duty cycle correction on all 1x clock out- puts such that all 1x clock outputs by default have a 50/50 duty cycle. the duty_cycle_correction property (true by default), controls this feature. in order to deacti- vate the dll duty cycle correction, attach the duty_cycle_correction=false property to the dll symbol. when duty cycle correction deactivates, the output clock has the same duty cycle as the source clock. the dll clock outputs can drive an obuf, a bufg, or they can route directly to destination clock pins. the dll clock outputs can only drive the bufgs that reside on the same edge (top or bottom). locked output ? locked to achieve lock, the dll might need to sample several thou- sand clock cycles. after the dll achieves lock, the locked signal activates. the dll timing parameter sec- tion of the data sheet provides estimates for locking times. to guarantee that the system clock is established prior to the device ? waking up, ? the dll can delay the completion of the device configuration process until after the dll locks. the startup_wait property activates this feature. until the locked signal activates, the dll output clocks are not valid and can exhibit glitches, spikes, or other spuri- ous movement. in particular the clk2x output appears as a 1x clock with a 25/75 duty cycle. table 13: relationship of phase-shifted output clock to period shift phase (degrees) period shift (percent) 00% 90 25% 180 50% 270 75% figure 25: dll output characteristics ds022_29_121099 clkin clk2x clk0 clk90 clk180 clk270 clkdv clkdv_divide=2 duty_cycle_correction=false clk0 clk90 clk180 clk270 duty_cycle_correction=true t 0 90 180 270 0 90 180 270
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 22 1-800-255-7778 production product specification dll properties properties provide access to some of the virtex-e series dll features, (for example, clock division and duty cycle correction). duty cycle correction property the 1x clock outputs, clk0, clk90, clk180, and clk270, use the duty-cycle corrected default, exhibiting a 50/50 duty cycle. the duty_cycle_correction property (by default true) controls this feature. to deactivate the dll duty-cycle correction for the 1x clock outputs, attach the duty_cycle_correction=false property to the dll symbol. clock divide property the clkdv_divide property specifies how the signal on the clkdv pin is frequency divided with respect to the clk0 pin. the values allowed for this property are 1.5, 2, 2.5, 3, 4, 5, 8, or 16; the default value is 2. startup delay property this property, startup_wait, takes on a value of true or false (the default value). when true the device con- figuration done signal waits until the dll locks before going to high. virtex-e dll location constraints as shown in figure 26 , there are four additional dlls in the virtex-e devices, for a total of eight per virtex-e device. these dlls are located in silicon, at the top and bottom of the two innermost block selectram columns. the location constraint loc, attached to the dll symbol with the identi- fier dll0s, dll0p, dll1s, dll1p, dll2s, dll2p, dll3s, or dll3p, controls the dll location. the loc property uses the following form: loc = dll0p design factors use the following design considerations to avoid pitfalls and improve success designing with xilinx devices. input clock the output clock signal of a dll, essentially a delayed ver- sion of the input clock signal, reflects any instability on the input clock in the output waveform. for this reason the qual- ity of the dll input clock relates directly to the quality of the output clock waveforms generated by the dll. the dll input clock requirements are specified in the data sheet. in most systems a crystal oscillator generates the system clock. the dll can be used with any commercially available quartz crystal oscillator. for example, most crystal oscilla- tors produce an output waveform with a frequency tolerance of 100 ppm, meaning 0.01 percent change in the clock period. the dll operates reliably on an input waveform with a frequency drift of up to 1 ns ? orders of magnitude in excess of that needed to support any crystal oscillator in the industry. however, the cycle-to-cycle jitter must be kept to less than 300 ps in the low frequencies and 150 ps for the high frequencies. input clock changes changing the period of the input clock beyond the maximum drift amount requires a manual reset of the clkdll. failure to reset the dll produces an unreliable lock signal and out- put clock. it is possible to stop the input clock with little impact to the dll. stopping the clock should be limited to less than 100 s to keep device cooling to a minimum. the clock should be stopped during a low phase, and when restored the full high period should be seen. during this time, locked stays high and remains high when the clock is restored. when the clock is stopped, one to four more clocks are still observed as the delay line is flushed. when the clock is restarted, the output clocks are not observed for one to four clocks as the delay line is filled. the most common case is two or three clocks. in a similar manner, a phase shift of the input clock is also possible. the phase shift propagates to the output one to four clocks after the original shift, with no disruption to the clkdll control. output clocks as mentioned earlier in the dll pin descriptions, some restrictions apply regarding the connectivity of the output pins. the dll clock outputs can drive an obuf, a global clock buffer bufg, or they can route directly to destination clock pins. the only bufgs that the dll clock outputs can drive are the two on the same edge of the device (top or bot- tom). in addition, the clk2x output of the secondary dll can connect directly to the clkin of the primary dll in the same quadrant. do not use the dll output clock signals until after activation of the locked signal. prior to the activation of the locked signal, the dll output clocks are not valid and can exhibit glitches, spikes, or other spurious movement. figure 26: virtex series dlls x132_14_100799 b r a m dll-3p dll-1p dll-3s dll-1s dll-2s dll-0s dll-2p dll-0p bottom right half edge b r a m b r a m b r a m
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 23 useful application examples the virtex-e dll can be used in a variety of creative and useful applications. the following examples show some of the more common applications. the verilog and vhdl example files are available at: ftp://ftp.xilinx.com/pub/applications/xapp/xapp132.zip standard usage the circuit shown in figure 27 resembles the bufgdll macro implemented to provide access to the rst and locked pins of the clkdll. board level de-skew of multiple non-virtex-e devices the circuit shown in figure 28 can be used to de-skew a system clock between a virtex-e chip and other non-vir- tex-e chips on the same board. this application is com- monly used when the virtex-e device is used in conjunction with other standard products such as sram or dram devices. while designing the board level route, ensure that the return net delay to the source equals the delay to the other chips involved. board-level de-skew is not required for low-fanout clock net- works. it is recommended for systems that have fanout lim- itations on the clock network, or if the clock distribution chip cannot handle the load. do not use the dll output clock signals until after activation of the locked signal. prior to the activation of the locked signal, the dll output clocks are not valid and can exhibit glitches, spikes, or other spurious movement. the dll_mirror_1 files in the xapp132.zip file show the vhdl and verilog implementation of this circuit. de-skew of clock and its 2x multiple the circuit shown in figure 29 implements a 2x clock multi- plier and also uses the clk0 clock output with a zero ns skew between registers on the same chip. alternatively, a clock divider circuit can be implemented using similar con- nections. figure 27: standard dll implementation clk0 clk90 clk180 clk270 clk2x clkdv locked clkin clkfb rst ds022_028_121099 clkdll bufg ibufg ibuf obuf figure 28: dll de-skew of board level clock figure 29: dll de-skew of clock and 2x multiple ds022_029_121099 clk0 clk90 clk180 clk270 clk2x clkdv locked clkin clkfb rst clkdll obuf ibufg clk0 clk90 clk180 clk270 clk2x clkdv locked clkin clkfb rst clkdll bufg ibufg non-virtex-e chip non-virtex-e chip other non_virtex-e chips virtex-e device clk0 clk90 clk180 clk270 clk2x clkdv locked clkin clkfb rst ds022_030_121099 clkdll bufg ibufg ibuf obuf bufg
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 24 1-800-255-7778 production product specification because any single dll can access only two bufgs at most, any additional output clock signals must be routed from the dll in this example on the high speed backbone routing. the dll_2x files in the xapp132.zip file show the vhdl and verilog implementation of this circuit. virtex-e 4x clock two dlls located in the same half-edge (top-left, top-right, bottom-right, bottom-left) can be connected together, with- out using a bufg between the clkdlls, to generate a 4x clock as shown in figure 30 . virtex-e devices, like the virtex devices, have four clock networks that are available for inter- nal de-skewing of the clock. each of the eight dlls have access to two of the four clock networks. although all the dlls can be used for internal de-skewing, the presence of two gclkbufs on the top and two on the bottom indicate that only two of the four dlls on the top (and two of the four dlls on the bottom) can be used for this purpose. the dll_4xe files in the xapp132.zip file show the dll imple- mentation in verilog for virtex-e devices. these files can be found at: ftp://ftp.xilinx.com/pub/applications/xapp/xapp132.zip using block selectram+ features the virtex fpga series provides dedicated blocks of on-chip, true dual-read/write port synchronous ram, with 4096 memory cells. each port of the block selectram+ memory can be independently configured as a read/write port, a read port, a write port, and can be configured to a specific data width. the block selectram+ memory offers new capabilities allowing the fpga designer to simplify designs. operating modes virtex-e block selectram+ memory supports two operating modes:  read through  write back read through (one clock edge) the read address is registered on the read port clock edge and data appears on the output after the ram access time. some memories might place the latch/register at the out- puts, depending on whether a faster clock-to-out versus set-up time is desired. this is generally considered to be an inferior solution, since it changes the read operation to an asynchronous function with the possibility of missing an address/control line transition during the generation of the read pulse clock. write back (one clock edge) the write address is registered on the write port clock edge and the data input is written to the memory and mirrored on the output. block selectram+ characteristics  all inputs are registered with the port clock and have a set-up to clock timing specification.  all outputs have a read through or write back function depending on the state of the port we pin. the outputs relative to the port clock are available after the clock-to-out timing specification.  the block selectrams are true sram memories and do not have a combinatorial path from the address to the output. the lut selectram+ cells in the clbs are still available with this function.  the ports are completely independent from each other ( i.e., clocking, control, address, read/write function, and data width) without arbitration.  a write operation requires only one clock edge.  a read operation requires only one clock edge. the output ports are latched with a self timed circuit to guar- antee a glitch free read. the state of the output port does not change until the port executes another read or write operation. library primitives figure 31 and figure 32 show the two generic library block selectram+ primitives. ta ble 1 4 describes all of the avail- able primitives for synthesis and simulation. figure 30: dll generation of 4x clock in virtex-e devices ds022_031_041901 rst clkfb clkin clkdll-s locked clkdv inv bufg obuf ibufg clk2x clk0 clk90 clk180 clk270 rst clkfb clkin clkdll-p locked clkdv clk2x clk0 clk90 clk180 clk270
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 25 port signals each block selectram+ port operates independently of the others while accessing the same set of 4096 memory cells. ta ble 1 5 describes the depth and width aspect ratios for the block selectram+ memory. clock ? clk[a|b] each port is fully synchronous with independent clock pins. all port input pins have setup time referenced to the port clk pin. the data output bus has a clock-to-out time refer- enced to the clk pin. enable ? en[a|b] the enable pin affects the read, write and reset functionality of the port. ports with an inactive enable pin keep the output pins in the previous state and do not write data to the mem- ory cells. write enable ? we[a|b] activating the write enable pin allows the port to write to the memory cells. when active, the contents of the data input bus are written to the ram at the address pointed to by the address bus, and the new data also reflects on the data out bus. when inactive, a read operation occurs and the con- tents of the memory cells referenced by the address bus reflect on the data out bus. reset ? rst[a|b] the reset pin forces the data output bus latches to zero syn- chronously. this does not affect the memory cells of the ram and does not disturb a write operation on the other port. address bus ? addr[a|b]<#:0> the address bus selects the memory cells for read or write. the width of the port determines the required width of this bus as shown in ta b l e 1 5 . data in bus ? di[a|b]<#:0> the data in bus provides the new data value to be written into the ram. this bus and the port have the same width, as shown in table 15 . figure 31: dual-port block selectram+ memory figure 32: single-port block selectram+ memory table 14: available library primitives primitive port a width port b width ramb4_s1 ramb4_s1_s1 ramb4_s1_s2 ramb4_s1_s4 ramb4_s1_s8 ramb4_s1_s16 1 n/a 1 2 4 8 16 ramb4_s2 ramb4_s2_s2 ramb4_s2_s4 ramb4_s2_s8 ramb4_s2_s16 2 n/a 2 4 8 16 ramb4_s4 ramb4_s4_s4 ramb4_s4_s8 ramb4_s4_s16 4 n/a 4 8 16 ramb4_s8 ramb4_s8_s8 ramb4_s8_s16 8 n/a 8 16 ramb4_s16 ramb4_s16_s16 16 n/a 16 web enb rstb clkb addrb[#:0] dib[#:0] wea ena rsta clka addra[#:0] dia[#:0] doa[#:0] dob[#:0] ramb4_s#_s# ds022_032_121399 ds022_033_121399 do[#:0] we en rst clk addr[#:0] di[#:0] ramb4_s# table 15: block selectram+ port aspect ratios width depth addr bus data bus 1 4096 addr<11:0> data<0> 2 2048 addr<10:0> data<1:0> 4 1024 addr<9:0> data<3:0> 8 512 addr<8:0> data<7:0> 16 256 addr<7:0> data<15:0>
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 26 1-800-255-7778 production product specification data output bus ? do[a|b]<#:0> the data out bus reflects the contents of the memory cells referenced by the address bus at the last active clock edge. during a write operation, the data out bus reflects the data in bus. the width of this bus equals the width of the port. the allowed widths appear in table 15 . inverting control pins the four control pins (clk, en, we and rst) for each port have independent inversion control as a configuration option. address mapping each port accesses the same set of 4096 memory cells using an addressing scheme dependent on the width of the port. the physical ram location addressed for a particular width are described in the following formula (of interest only when the two ports use different aspect ratios). start = ((addr port +1) * width port ) ? 1 end = addr port * width port ta ble 1 6 shows low order address mapping for each port width. creating larger ram structures the block selectram+ columns have specialized routing to allow cascading blocks together with minimal routing delays. this achieves wider or deeper ram structures with a smaller timing penalty than when using normal routing channels. location constraints block selectram+ instances can have loc properties attached to them to constrain the placement. the block selectram+ placement locations are separate from the clb location naming convention, allowing the loc proper- ties to transfer easily from array to array. the loc properties use the following form. loc = ramb4_r#c# ramb4_r0c0 is the upper left ramb4 location on the device. conflict resolution the block selectram+ memory is a true dual-read/write port ram that allows simultaneous access of the same memory cell from both ports. when one port writes to a given memory cell, the other port must not address that memory cell (for a write or a read) within the clock-to-clock setup window. the following lists specifics of port and mem- ory cell write conflict resolution.  if both ports write to the same memory cell simultaneously, violating the clock-to-clock setup requirement, consider the data stored as invalid.  if one port attempts a read of the same memory cell the other simultaneously writes, violating the clock-to-clock setup requirement, the following occurs. - the write succeeds - the data out on the writing port accurately reflects the data written. - the data out on the reading port is invalid. conflicts do not cause any physical damage. single port timing figure 33 shows a timing diagram for a single port of a block selectram+ memory. the block selectram+ ac switching characteristics are specified in the data sheet. the block selectram+ memory is initially disabled. at the first rising edge of the clk pin, the addr, di, en, we, and rst pins are sampled. the en pin is high and the we pin is low indicating a read operation. the do bus con- tains the contents of the memory location, 0x00, as indi- cated by the addr bus. at the second rising edge of the clk pin, the addr, di, en, wr, and rst pins are sampled again. the en and we pins are high indicating a write operation. the do bus mirrors the di bus. the di bus is written to the memory location 0x0f. at the third rising edge of the clk pin, the addr, di, en, wr, and rst pins are sampled again. the en pin is high and the we pin is low indicating a read operation. the do bus contains the contents of the memory location 0x7e as indicated by the addr bus. at the fourth rising edge of the clk pin, the addr, di, en, wr, and rst pins are sampled again. the en pin is low table 16: port address mapping port width port addresses 1 4095... 1 5 1 4 1 3 1 2 1 1 1 0 0 9 0 8 0 7 0 6 0 5 0 4 0 3 0 2 0 1 0 0 2 2047... 07 06 05 04 03 02 01 00 4 1023... 03 02 01 00 8511... 01 00 16 255... 00
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 27 indicating that the block selectram+ memory is now dis- abled. the do bus retains the last value. dual port timing figure 34 shows a timing diagram for a true dual-port read/write block selectram+ memory. the clock on port a has a longer period than the clock on port b. the timing parameter t bccs , (clock-to-clock set-up) is shown on this diagram. the parameter, t bccs is violated once in the dia- gram. all other timing parameters are identical to the single port version shown in figure 33 . t bccs is only of importance when the address of both ports are the same and at least one port is performing a write operation. when the clock-to-clock set-up parameter is vio- lated for a write-write condition, the contents of the memory at that location are invalid. when the clock-to-clock set-up parameter is violated for a write-read condition, the contents of the memory are correct, but the read port has invalid data. at the first rising edge of the clka, memory location 0x00 is to be written with the value 0xaaaa and is mirrored on the doa bus. the last operation of port b was a read to the same memory location 0x00. the dob bus of port b does not change with the new value on port a, and retains the last read value. a short time later, port b executes another read to memory location 0x00, and the dob bus now reflects the new memory value written by port a. at the second rising edge of clka, memory location 0x7e is written with the value 0x9999 and is mirrored on the doa bus. port b then executes a read operation to the same memory location without violating the t bccs parameter and the dob reflects the new memory values written by port a. figure 33: timing diagram for single port block selectram+ memory ds022_0343_121399 clk t bpwh t back addr 00 dddd mem (00) cccc mem (7e) 0f cccc 7e 8f bbbb 2222 din dout en rst we disabled read write read disabled t bdck t beck t bwck t bcko t bpwl
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 28 1-800-255-7778 production product specification at the third rising edge of clka, the t bccs parameter is violated with two writes to memory location 0x0f. the doa and dob busses reflect the contents of the dia and dib busses, but the stored value at 0x0f is invalid. at the fourth rising edge of clka, a read operation is per- formed at memory location 0x0f and invalid data is present on the doa bus. port b also executes a read operation to memory location 0x0f and also reads invalid data. at the fifth rising edge of clka a read operation is per- formed that does not violate the t bccs parameter to the previous write of 0x7e by port b. the doa bus reflects the recently written value by port b. initialization the block selectram+ memory can initialize during the device configuration sequence. the 16 initialization properties of 64 hex values each (a total of 4096 bits) set the initialization of each ram. these properties appear in ta b l e 1 7 . any initial- ization properties not explicitly set configure as zeros. partial initialization strings pad with zeros. initialization strings greater than 64 hex values generate an error. the rams can be simulated with the initialization values using generics in vhdl simulators and parameters in verilog simulators. initialization in vhdl and synopsys the block selectram+ structures can be initialized in vhdl for both simulation and synthesis for inclusion in the edif output file. the simulation of the vhdl code uses a generic to pass the initialization. synopsys fpga compiler does not presently support generics. the initialization values instead attach as attributes to the ram by a built-in synopsys dc_script. the translate_off statement stops synthesis translation of the generic statements. the following code illustrates a module that employs these techniques. figure 34: timing diagram for a true dual-port read/write block selectram+ memory ds022_035_121399 clk_a port a port b addr_a 00 7e 0f 00 00 7e 7e 1a 0f 0f 0f 7e aaaa 9999 aaaa 0000 1111 2222 aaaa 9999 aaaa unknown en_a we_a di_a do_a 1111 1111 1111 2222 ffff bbbb 1111 aaaa mem (00) 9999 2222 ffff bbbb unknown clk_b addr_b en_b we_b di_b do_b t bccs violation t bccs t bccs table 17: ram initialization properties property memory cells init_00 255 to 0 init_01 511 to 256 init_02 767 to 512 init_03 1023 to 768 init_04 1279 to 1024 init_05 1535 to 1280 init_06 1791 to 2047 init_07 2047 to 1792 init_08 2303 to 2048 init_09 2559 to 2304 init_0a 2815 to 2560 init_0b 3071 to 2816 init_0c 3327 to 3072 init_0d 3583 to 3328 init_0e 3839 to 3584 init_0f 4095 to 3840
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 29 initialization in verilog and synopsys the block selectram+ structures can be initialized in verilog for both simulation and synthesis for inclusion in the edif output file. the simulation of the verilog code uses a def- param to pass the initialization. the synopsys fpga com- piler does not presently support defparam. the initialization values instead attach as attributes to the ram by a built-in synopsys dc_script. the translate_off statement stops syn- thesis translation of the defparam statements. the following code illustrates a module that employs these techniques. design examples creating a 32-bit single-port ram the true dual-read/write port functionality of the block selectram+ memory allows a single port, 128 deep by 32-bit wide ram to be created using a single block selectram+ cell as shown in figure 35 . interleaving the memory space, setting the lsb of the address bus of port a to 1 (v cc ), and the lsb of the address bus of port b to 0 (gnd), allows a 32-bit wide sin- gle port ram to be created. creating two single-port rams the true dual-read/write port functionality of the block selectram+ memory allows a single ram to be split into two single port memories of 2k bits each as shown in figure 36 . in this example, a 512k x 4 ram (port a) and a 128 x 16 ram (port b) are created out of a single block selectram+. the address space for the ram is split by fixing the msb of port a to 1 (v cc ) for the upper 2k bits and the msb of port b to 0 (gnd) for the lower 2k bits. block memory generation the coregen program generates memory structures using the block selectram+ features. this program outputs vhdl or verilog simulation code templates and an edif file for inclusion in a design. figure 35: single port 128 x 32 ram web enb rstb clkb addrb[7:0] dib[15:0] wea ena rsta clka addra[7:0] dia[15:0] addr[6:0], v cc clk en rst we clk en rst we di[31:16] addr[6:0], gnd di[15:0] doa[15:0] do[31:16] do[15:0] dob[15:0] ramb4_s16_s16 ds022_036_121399 figure 36: 512 x 4 ram and 128 x 16 ram web enb rstb clkb addrb[7:0] dib[15:0] wea ena rsta clka addra[9:0] dia[3:0] v cc , addr1[8:0] di1[3:0] we1 en1 rst1 clk1 we2 en2 rst2 clk2 gnd, addr2[6:0] di2[15:0] doa[3:0] do1[3:0] do2[15:0] dob[15:0] ramb4_s4_s16 ds022_037_121399
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 30 1-800-255-7778 production product specification vhdl initialization example library ieee; use ieee.std_logic_1164.all; entity mymem is port (clk, we:in std_logic; addr: in std_logic_vector(8 downto 0); din: in std_logic_vector(7 downto 0); dout: out std_logic_vector(7 downto 0)); end mymem; architecture behave of mymem is signal logic0, logic1: std_logic; component ramb4_s8 --synopsys translate_off generic( init_00,init_01, init_02, init_03, init_04, init_05, init_06, init_07, init_08, init_09, init_0a, init_0b, init_0c, init_0d, init_0e, init_0f : bit_vector(255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"); --synopsys translate_on port (we, en, rst, clk: in std_logic; addr: in std_logic_vector(8 downto 0); di: in std_logic_vector(7 downto 0); do: out std_logic_vector(7 downto 0)); end component; --synopsys dc_script_begin --set_attribute ram0 init_00 "0123456789abcdef0123456789abcdef0123456789abcdef0123456789abcdef" -type string --set_attribute ram0 init_01 "fedcba9876543210fedcba9876543210fedcba9876543210fedcba9876543210" -type string --synopsys dc_script_end begin logic0 <=?0?; logic1 <=?1?; ram0: ramb4_s8 --synopsys translate_off generic map ( init_00 => x"0123456789abcdef0123456789abcdef0123456789abcdef0123456789abcdef", init_01 => x"fedcba9876543210fedcba9876543210fedcba9876543210fedcba9876543210") --synopsys translate_on port map (we=>we, en=>logic1, rst=>logic0, clk=>clk,addr=>addr, di=>din, do=>dout); end behave;
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 31 verilog initialization example module mymem (clk, we, addr, din, dout); input clk, we; input [8:0] addr; input [7:0] din; output [7:0] dout; wire logic0, logic1; //synopsys dc_script_begin //set_attribute ram0 init_00 "0123456789abcdef0123456789abcdef0123456789abcdef0123456789abcdef" -type string //set_attribute ram0 init_01 "fedcba9876543210fedcba9876543210fedcba9876543210fedcba9876543210" -type string //synopsys dc_script_end assign logic0 = 1 ? b0; assign logic1 = 1 ? b1; ramb4_s8 ram0 (.we(we), .en(logic1), .rst(logic0), .clk(clk), .addr(addr), .di(din), .do(dout)); //synopsys translate_off defparam ram0.init_00 = 256h ? 0123456789abcdef0123456789abcdef0123456789abcdef0123456789abcdef; defparam ram0.init_01 = 256h ? fedcba9876543210fedcba9876543210fedcba9876543210fedcba9876543210; //synopsys translate_on endmodule using selecti/o the virtex-e fpga series includes a highly configurable, high-performance i/o resource, called selecti/o ? to pro- vide support for a wide variety of i/o standards. the selecti/o resource is a robust set of features including pro- grammable control of output drive strength, slew rate, and input delay and hold time. taking advantage of the flexibility and selecti/o features and the design considerations described in this document can improve and simplify sys- tem level design. introduction as fpgas continue to grow in size and capacity, the larger and more complex systems designed for them demand an increased variety of i/o standards. furthermore, as system clock speeds continue to increase, the need for high perfor- mance i/o becomes more important. while chip-to-chip delays have an increasingly substantial impact on overall system speed, the task of achieving the desired system performance becomes more difficult with the proliferation of low-voltage i/o standards. selecti/o, the revolutionary input/output resources of virtex-e devices, resolve this potential problem by providing a highly config- urable, high-performance alternative to the i/o resources of more conventional programmable devices. virtex-e selecti/o features combine the flexibility and time-to-market advan- tages of programmable logic with the high performance pre- viously available only with asics and custom ics. each selecti/o block can support up to 20 i/o standards. supporting such a variety of i/o standards allows the sup- port of a wide variety of applications, from general purpose standard applications to high-speed low-voltage memory busses. selecti/o blocks also provide selectable output drive strengths and programmable slew rates for the lvttl out- put buffers, as well as an optional, programmable weak pull-up, weak pull-down, or weak ? keeper ? circuit ideal for use in external bussing applications. each input/output block (iob) includes three registers, one each for the input, output, and 3-state signals within the iob. these registers are optionally configurable as either a d-type flip-flop or as a level sensitive latch. the input buffer has an optional delay element used to guar- antee a zero hold time requirement for input signals regis- tered within the iob. the virtex-e selecti/o features also provide dedicated resources for input reference voltage (v ref ) and output source voltage (v cco ), along with a convenient banking system that simplifies board design. by taking advantage of the built-in features and wide variety of i/o standards supported by the selecti/o features, sys- tem-level design and board design can be greatly simplified and improved.
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 32 1-800-255-7778 production product specification fundamentals modern bus applications, pioneered by the largest and most influential companies in the digital electronics industry, are commonly introduced with a new i/o standard tailored spe- cifically to the needs of that application. the bus i/o stan- dards provide specifications to other vendors who create products designed to interface with these applications. each standard often has its own specifications for current, voltage, i/o buffering, and termination techniques. the ability to provide the flexibility and time-to-market advantages of programmable logic is increasingly depen- dent on the capability of the programmable logic device to support an ever increasing variety of i/o standards the selecti/o resources feature highly configurable input and output buffers which provide support for a wide variety of i/o standards. as shown in ta ble 1 8 , each buffer type can support a variety of voltage requirements. overview of supported i/o standards this section provides a brief overview of the i/o standards supported by all virtex-e devices. while most i/o standards specify a range of allowed volt- ages, this document records typical voltage values only. detailed information on each specification can be found on the electronic industry alliance jedec website at: http://www.jedec.org lvttl ? low-voltage ttl the low-voltage ttl, or lvttl standard is a general pur- pose eia/jesdsa standard for 3.3v applications that uses an lvttl input buffer and a push-pull output buffer. this standard requires a 3.3v output source voltage (v cco ), but does not require the use of a reference voltage (v ref ) or a termination voltage (v tt ). lv c m o s2 ? low-voltage cmos for 2.5 volts the low-voltage cmos for 2.5 volts or lower, or lvcmos2 standard is an extension of the lvcmos standard (jesd 8.-5) used for general purpose 2.5v applications. this standard requires a 2.5v output source voltage (v cco ), but does not require the use of a reference voltage (v ref ) or a board termination voltage (v tt ). lv c m o s1 8 ? 1.8 v low voltage cmos this standard is an extension of the lvcmos standard. it is used in general purpose 1.8 v applications. the use of a reference voltage (v ref ) or a board termination voltage (v tt ) is not required. pci ? peripheral component interface the peripheral component interface, or pci standard spec- ifies support for both 33 mhz and 66 mhz pci bus applica- tions. it uses a lvttl input buffer and a push-pull output buffer. this standard does not require the use of a reference voltage (v ref ) or a board termination voltage (v tt ), how- ever, it does require a 3.3v output source voltage (v cco ). gtl ? gunning transceiver logic terminated the gunning transceiver logic, or gtl standard is a high-speed bus standard (jesd8.3) invented by xerox. xil- inx has implemented the terminated variation for this stan- dard. this standard requires a differential amplifier input buffer and a open drain output buffer. gtl+ ? gunning transceiver logic plus the gunning transceiver logic plus, or gtl+ standard is a high-speed bus standard (jesd8.3) first used by the pen- tium pro processor. hstl ? high-speed transceiver logic the high-speed transceiver logic, or hstl standard is a general purpose high-speed, 1.5v bus standard sponsored by ibm (eia/jesd 8-6). this standard has four variations or classes. selecti/o devices support class i, iii, and iv. this table 18: virtex-e supported i/o standards i/o standard output v cco input v cco input v ref board termination voltage (v tt ) lvttl 3.3 3.3 n/a n/a lvcmos2 2.5 2.5 n/a n/a lvcmos18 1.8 1.8 n/a n/a sstl3 i & ii 3.3 n/a 1.50 1.50 sstl2 i & ii 2.5 n/a 1.25 1.25 gtl n/a n/a 0.80 1.20 gtl+ n/a n/a 1.0 1.50 hstl i 1.5 n/a 0.75 0.75 hstl iii & iv 1.5 n/a 0.90 1.50 ctt 3.3 n/a 1.50 1.50 agp-2x 3.3 n/a 1.32 n/a pci33_3 3.3 3.3 n/a n/a pci66_3 3.3 3.3 n/a n/a blvds & lvds 2.5 n/a n/a n/a lvpecl 3.3 n/a n/a n/a
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 33 standard requires a differential amplifier input buffer and a push-pull output buffer. sstl3 ? stub series terminated logic for 3.3v the stub series terminated logic for 3.3v, or sstl3 stan- dard is a general purpose 3.3v memory bus standard also sponsored by hitachi and ibm (jesd8-8). this standard has two classes, i and ii. selecti/o devices support both classes for the sstl3 standard. this standard requires a differential amplifier input buffer and an push-pull output buffer. sstl2 ? stub series terminated logic for 2.5v the stub series terminated logic for 2.5v, or sstl2 stan- dard is a general purpose 2.5v memory bus standard spon- sored by hitachi and ibm (jesd8-9). this standard has two classes, i and ii. selecti/o devices support both classes for the sstl2 standard. this standard requires a differential amplifier input buffer and an push-pull output buffer. ctt ? center tap terminated the center tap terminated, or ctt standard is a 3.3v memory bus standard sponsored by fujitsu (jesd8-4). this standard requires a differential amplifier input buffer and a push-pull output buffer. agp-2x ? advanced graphics port the intel agp standard is a 3.3v advanced graphics port-2x bus standard used with the pentium ii processor for graphics applications. this standard requires a push-pull output buffer and a differential amplifier input buffer. lvd s ? low voltage differential signal lvds is a differential i/o standard. it requires that one data bit is carried through two signal lines. as with all differential signaling standards, lvds has an inherent noise immunity over single-ended i/o standards. the voltage swing between two signal lines is approximately 350mv. the use of a reference voltage (v ref ) or a board termination voltage (v tt ) is not required. lvds requires the use of two pins per input or output. lvds requires external resistor termination. blvds ? bus lvds this standard allows for bidirectional lvds communication between two or more devices. the external resistor termi- nation is different than the one for standard lvds. lvp ec l ? low voltage positive emitter coupled logic lvpecl is another differential i/o standard. it requires two signal lines for transmitting one data bit. this standard specifies two pins per input or output. the voltage swing between these two signal lines is approximately 850 mv. the use of a reference voltage (v ref ) or a board termina- tion voltage (v tt ) is not required. the lvpecl standard requires external resistor termination. library symbols the xilinx library includes an extensive list of symbols designed to provide support for the variety of selecti/o fea- tures. most of these symbols represent variations of the five generic selecti/o symbols.  ibuf (input buffer)  ibufg (global clock input buffer)  obuf (output buffer)  obuft (3-state output buffer)  iobuf (input/output buffer) ibuf signals used as inputs to the virtex-e device must source an input buffer (ibuf) via an external input port. the generic virtex-e ibuf symbol appears in figure 37 . the extension to the base name defines which i/o standard the ibuf uses. the assumed standard is lvttl when the generic ibuf has no specified extension. the following list details the variations of the ibuf symbol:  ibuf  ibuf_lvcmos2  ibuf_pci33_3  ibuf_pci66_3  ibuf_gtl  ibuf_gtlp  ibuf_hstl_i  ibuf_hstl_iii  ibuf_hstl_iv  ibuf_sstl3_i  ibuf_sstl3_ii  ibuf_sstl2_i  ibuf_sstl2_ii  ibuf_ctt  ibuf_agp  ibuf_lvcmos18  ibuf_lvds  ibuf_lvpecl when the ibuf symbol supports an i/o standard that requires a v ref , the ibuf automatically configures as a dif- ferential amplifier input buffer. the v ref voltage must be supplied on the v ref pins. in the case of lvds, lvpecl, and blvds, v ref is not required. figure 37: input buffer (ibuf) symbols o i ibuf x133_01_111699
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 34 1-800-255-7778 production product specification the voltage reference signal is ? banked ? within the virtex-e device on a half-edge basis such that for all packages there are eight independent v ref banks internally. see figure 38 for a representation of the virtex-e i/o banks. within each bank approximately one of every six i/o pins is automati- cally configured as a v ref input. after placing a differential amplifier input signal within a given v ref bank, the same external source must drive all i/o pins configured as a v ref input. ibuf placement restrictions require that any differential amplifier input signals within a bank be of the same stan- dard. how to specify a specific location for the ibuf via the loc property is described below. table 19 summarizes the virtex-e input standards compatibility requirements. an optional delay element is associated with each ibuf. when the ibuf drives a flip-flop within the iob, the delay element by default activates to ensure a zero hold-time requirement. the nodelay=true property overrides this default. when the ibuf does not drive a flip-flop within the iob, the delay element de-activates by default to provide higher per- formance. to delay the input signal, activate the delay ele- ment with the delay=true property. ibufg signals used as high fanout clock inputs to the virtex-e device should drive a global clock input buffer (ibufg) via an external input port in order to take advantage of one of the four dedicated global clock distribution networks. the output of the ibufg should only drive a clkdll, clkdllhf, or bufg symbol. the generic virtex-e ibufg symbol appears in figure 39 . the extension to the base name determines which i/o stan- dard is used by the ibufg. with no extension specified for the generic ibufg symbol, the assumed standard is lv tt l. the following list details variations of the ibufg symbol.  ibufg  ibufg_lvcmos2  ibufg_pci33_3  ibufg_pci66_3  ibufg_gtl  ibufg_gtlp  ibufg_hstl_i  ibufg_hstl_iii  ibufg_hstl_iv  ibufg_sstl3_i  ibufg_sstl3_ii  ibufg_sstl2_i  ibufg_sstl2_ii  ibufg_ctt  ibufg_agp  ibufg_lvcmos18  ibufg_lvds  ibufg_lvpecl when the ibufg symbol supports an i/o standard that requires a differential amplifier input, the ibufg automati- cally configures as a differential amplifier input buffer. the low-voltage i/o standards with a differential amplifier input require an external reference voltage input v ref . the voltage reference signal is ? banked ? within the virtex-e device on a half-edge basis such that for all packages there are eight independent v ref banks internally. see figure 38 for a representation of the virtex-e i/o banks. within each bank approximately one of every six i/o pins is automati- cally configured as a v ref input. after placing a differential amplifier input signal within a given v ref bank, the same external source must drive all i/o pins configured as a v ref input. ibufg placement restrictions require any differential ampli- fier input signals within a bank be of the same standard. the loc property can specify a location for the ibufg. as an added convenience, the bufgp can be used to instantiate a high fanout clock input. the bufgp symbol table 19: xilinx input standards compatibility requirements rule 1 standards with the same input v cco , output v cco , and v ref can be placed within the same bank. figure 38: virtex-e i/o banks ds022_42_012100 bank 0 gclk3 gclk2 gclk1 gclk0 bank 1 bank 5 bank 4 virtex-e device bank 7 bank 6 bank 2 bank 3 figure 39: virtex-e global clock input buffer (ibufg) symbol o i ibufg x133_03_111699
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 35 represents a combination of the lvttl ibufg and bufg symbols, such that the output of the bufgp can connect directly to the clock pins throughout the design. unlike previous architectures, the virtex-e bufgp symbol can only be placed in a global clock pad location. the loc property can specify a location for the bufgp. obuf an obuf must drive outputs through an external output port. the generic output buffer (obuf) symbol appears in figure 40 . the extension to the base name defines which i/o standard the obuf uses. with no extension specified for the generic obuf symbol, the assumed standard is slew rate limited lvttl with 12 ma drive strength. the lvttl obuf additionally can support one of two slew rate modes to minimize bus transients. by default, the slew rate for each output buffer is reduced to minimize power bus transients when switching non-critical signals. lvttl output buffers have selectable drive strengths. the format for lvttl obuf symbol names is as follows: obuf__ where is either f (fast) or s (slow), and is specified in milliamps (2, 4, 6, 8, 12, 16, or 24). the following list details variations of the obuf symbol.  obuf  obuf_s_2  obuf_s_4  obuf_s_6  obuf_s_8  obuf_s_12  obuf_s_16  obuf_s_24  obuf_f_2  obuf_f_4  obuf_f_6  obuf_f_8  obuf_f_12  obuf_f_16  obuf_f_24  obuf_lvcmos2  obuf_pci33_3  obuf_pci66_3  obuf_gtl  obuf_gtlp  obuf_hstl_i  obuf_hstl_iii  obuf_hstl_iv  obuf_sstl3_i  obuf_sstl3_ii  obuf_sstl2_i  obuf_sstl2_ii  obuf_ctt  obuf_agp  obuf_lvcmos18  obuf_lvds  obuf_lvpecl the virtex-e series supports eight banks for the hq and pq packages. the cs packages support four v cco banks. obuf placement restrictions require that within a given v cco bank each obuf share the same output source drive voltage. input buffers of any type and output buffers that do not require v cco can be placed within any v cco bank. ta ble 2 0 summarizes the virtex-e output compatibility requirements. the loc property can specify a location for the obuf. obuft the generic 3-state output buffer obuft (see figure 41 ) typically implements 3-state outputs or bidirectional i/o. the extension to the base name defines which i/o standard obuft uses. with no extension specified for the generic obuft symbol, the assumed standard is slew rate limited lvttl with 12 ma drive strength. the lvttl obuft additionally can support one of two slew rate modes to minimize bus transients. by default, the slew rate for each output buffer is reduced to minimize power bus transients when switching non-critical signals. figure 40: virtex-e output buffer (obuf) symbol o i obuf x133_04_111699 table 20: output standards compatibility requirements rule 1 only outputs with standards that share compatible v cco can be used within the same bank. rule 2 there are no placement restrictions for outputs with standards that do not require a v cco . v cco compatible standards 3.3 lvttl, sstl3_i, sstl3_ii, ctt, agp, gtl, gtl+, pci33_3, pci66_3 2.5 sstl2_i, sstl2_ii, lvcmos2, gtl, gtl+ 1.5 hstl_i, hstl_iii, hstl_iv, gtl, gtl+
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 36 1-800-255-7778 production product specification lvttl 3-state output buffers have selectable drive strengths. the format for lvttl obuft symbol names is as follows: obuft__ where is either f (fast) or s (slow), and is specified in milliamps (2, 4, 6, 8, 12, 16, or 24). the following list details variations of the obuft symbol.  obuft  obuft_s_2  obuft_s_4  obuft_s_6  obuft_s_8  obuft_s_12  obuft_s_16  obuft_s_24  obuft_f_2  obuft_f_4  obuft_f_6  obuft_f_8  obuft_f_12  obuft_f_16  obuft_f_24  obuft_lvcmos2  obuft_pci33_3  obuft_pci66_3  obuft_gtl  obuft_gtlp  obuft_hstl_i  obuft_hstl_iii  obuft_hstl_iv  obuft_sstl3_i  obuft_sstl3_ii  obuft_sstl2_i  obuft_sstl2_ii  obuft_ctt  obuft_agp  obuft_lvcmos18  obuft_lvds  obuft_lvpecl the virtex-e series supports eight banks for the hq and pq packages. the cs package supports four v cco banks. the selecti/o obuft placement restrictions require that within a given v cco bank each obuft share the same out- put source drive voltage. input buffers of any type and out- put buffers that do not require v cco can be placed within the same v cco bank. the loc property can specify a location for the obuft. 3-state output buffers and bidirectional buffers can have either a weak pull-up resistor, a weak pull-down resistor, or a weak ? keeper ? circuit. control this feature by adding the appropriate symbol to the output net of the obuft (pul- lup, pulldown, or keeper). the weak ? keeper ? circuit requires the input buffer within the iob to sample the i/o signal. so, obufts programmed for an i/o standard that requires a v ref have automatic place- ment of a v ref in the bank with an obuft configured with a weak ? keeper ? circuit. this restriction does not affect most circuit design as applications using an obuft configured with a weak ? keeper ? typically implement a bidirectional i/o. in this case the ibuf (and the corresponding v ref ) are explicitly placed. the loc property can specify a location for the obuft. iobuf use the iobuf symbol for bidirectional signals that require both an input buffer and a 3-state output buffer with an active high 3-state pin. the generic input/output buffer iobuf appears in figure 42 . the extension to the base name defines which i/o standard the iobuf uses. with no extension specified for the generic iobuf symbol, the assumed standard is lvttl input buffer and slew rate limited lvttl with 12 ma drive strength for the output buffer. the lvttl iobuf additionally can support one of two slew rate modes to minimize bus transients. by default, the slew rate for each output buffer is reduced to minimize power bus transients when switching non-critical signals. lvttl bidirectional buffers have selectable output drive strengths. the format for lvttl iobuf symbol names is as follows: iobuf__ where is either f (fast) or s (slow), and is specified in milliamps (2, 4, 6, 8, 12, 16, or 24). figure 41: 3-state output buffer symbol (obuft) o i obuft x133_05_111699 t
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 37 the following list details variations of the iobuf symbol.  iobuf  iobuf_s_2  iobuf_s_4  iobuf_s_6  iobuf_s_8  iobuf_s_12  iobuf_s_16  iobuf_s_24  iobuf_f_2  iobuf_f_4  iobuf_f_6  iobuf_f_8  iobuf_f_12  iobuf_f_16  iobuf_f_24  iobuf_lvcmos2  iobuf_pci33_3  iobuf_pci66_3  iobuf_gtl  iobuf_gtlp  iobuf_hstl_i  iobuf_hstl_iii  iobuf_hstl_iv  iobuf_sstl3_i  iobuf_sstl3_ii  iobuf_sstl2_i  iobuf_sstl2_ii  iobuf_ctt  iobuf_agp  iobuf_lvcmos18  iobuf_lvds  iobuf_lvpecl when the iobuf symbol used supports an i/o standard that requires a differential amplifier input, the iobuf auto- matically configures with a differential amplifier input buffer. the low-voltage i/o standards with a differential amplifier input require an external reference voltage input v ref . the voltage reference signal is ? banked ? within the virtex-e device on a half-edge basis such that for all packages there are eight independent v ref banks internally. see figure 38, page 34 for a representation of the virtex-e i/o banks. within each bank approximately one of every six i/o pins is automatically configured as a v ref input. after placing a dif- ferential amplifier input signal within a given v ref bank, the same external source must drive all i/o pins configured as a v ref input. iobuf placement restrictions require any differential ampli- fier input signals within a bank be of the same standard. the virtex-e series supports eight banks for the hq and pq packages. the cs package supports four v cco banks. additional restrictions on the virtex-e selecti/o iobuf placement require that within a given v cco bank each iobuf must share the same output source drive voltage. input buffers of any type and output buffers that do not require v cco can be placed within the same v cco bank. the loc property can specify a location for the iobuf. an optional delay element is associated with the input path in each iobuf. when the iobuf drives an input flip-flop within the iob, the delay element activates by default to ensure a zero hold-time requirement. override this default with the nodelay=true property. in the case when the iobuf does not drive an input flip-flop within the iob, the delay element de-activates by default to provide higher performance. to delay the input signal, acti- vate the delay element with the delay=true property. 3-state output buffers and bidirectional buffers can have either a weak pull-up resistor, a weak pull-down resistor, or a weak ? keeper ? circuit. control this feature by adding the appropriate symbol to the output net of the iobuf (pul- lup, pulldown, or keeper). selecti/o properties access to some of the selecti/o features (for example, loca- tion constraints, input delay, output drive strength, and slew rate) is available through properties associated with these features. input delay properties an optional delay element is associated with each ibuf. when the ibuf drives a flip-flop within the iob, the delay element activates by default to ensure a zero hold-time requirement. use the nodelay=true property to over- ride this default. in the case when the ibuf does not drive a flip-flop within the iob, the delay element by default de-activates to pro- vide higher performance. to delay the input signal, activate the delay element with the delay=true property. figure 42: input/output buffer symbol (iobuf) io i iobuf x133_06_111699 t o
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 38 1-800-255-7778 production product specification iob flip-flop/latch property the virtex-e series i/o block (iob) includes an optional register on the input path, an optional register on the output path, and an optional register on the 3-state control pin. the design implementation software automatically takes advan- tage of these registers when the following option for the map program is specified. map ? pr b alternatively, the iob = true property can be placed on a register to force the mapper to place the register in an iob. location constraints specify the location of each selecti/o symbol with the loca- tion constraint loc attached to the selecti/o symbol. the external port identifier indicates the value of the location constrain. the format of the port identifier depends on the package chosen for the specific design. the loc properties use the following form: loc=a42 loc=p37 output slew rate property as mentioned above, a variety of symbol names provide the option of choosing the desired slew rate for the output buff- ers. in the case of the lvttl output buffers (obuf, obuft, and iobuf), slew rate control can be alternatively pro- gramed with the slew= property. by default, the slew rate for each output buffer is reduced to minimize power bus transients when switching non-critical signals. the slew= property has one of the two following values. slew=slow slew=fast output drive strength property the desired output drive strength can be additionally speci- fied by choosing the appropriate library symbol. the xilinx library also provides an alternative method for specifying this feature. for the lvttl output buffers (obuf, obuft, and iobuf, the desired drive strength can be specified with the drive= property. this property could have one of the following seven values. drive=2 drive=4 drive=6 drive=8 drive=12 (default) drive=16 drive=24 design considerations reference voltage (v ref ) pins low-voltage i/o standards with a differential amplifier input buffer require an input reference voltage (v ref ). provide the v ref as an external signal to the device. the voltage reference signal is ? banked ? within the device on a half-edge basis such that for all packages there are eight independent v ref banks internally. see figure 38 for a rep- resentation of the virtex-e i/o banks. within each bank approximately one of every six i/o pins is automatically con- figured as a v ref input. after placing a differential amplifier input signal within a given v ref bank, the same external source must drive all i/o pins configured as a v ref input. within each v ref bank, any input buffers that require a v ref signal must be of the same type. output buffers of any type and input buffers can be placed without requiring a ref- erence voltage within the same v ref bank. output drive source voltage (v cco ) pins many of the low voltage i/o standards supported by selecti/o devices require a different output drive source voltage (v cco ). as a result each device can often have to support multiple output drive source voltages. the virtex-e series supports eight banks for the hq and pq packages. the cs package supports four v cco banks. output buffers within a given v cco bank must share the same output drive source voltage. input buffers for lvttl, lvcmos2, lvcmos18, pci33_3, and pci 66_3 use the v cco voltage for input v cco voltage. transmission line effects the delay of an electrical signal along a wire is dominated by the rise and fall times when the signal travels a short dis- tance. transmission line delays vary with inductance and capacitance, but a well-designed board can experience delays of approximately 180 ps per inch. transmission line effects, or reflections, typically start at 1.5" for fast (1.5 ns) rise and fall times. poor (or non-exis- tent) termination or changes in the transmission line imped- ance cause these reflections and can cause additional delay in longer traces. as system speeds continue to increase, the effect of i/o delays can become a limiting fac- tor and therefore transmission line termination becomes increasingly more important. termination techniques a variety of termination techniques reduce the impact of transmission line effects. the following are output termination techniques:  none  series  parallel (shunt)  series and parallel (series-shunt)
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 39 input termination techniques include the following.  none  parallel (shunt) these termination techniques can be applied in any combi- nation. a generic example of each combination of termina- tion methods appears in figure 43 . simultaneous switching guidelines ground bounce can occur with high-speed digital ics when multiple outputs change states simultaneously, causing undesired transient behavior on an output, or in the internal logic. this problem is also referred to as the simultaneous switching output (sso) problem. ground bounce is primarily due to current changes in the combined inductance of ground pins, bond wires, and ground metallization. the ic internal ground level deviates from the external system ground level for a short duration (a few nanoseconds) after multiple outputs change state simultaneously. ground bounce affects stable low outputs and all inputs because they interpret the incoming signal by comparing it to the internal ground. if the ground bounce amplitude exceeds the actual instantaneous noise margin, then a non-changing input can be interpreted as a short pulse with a polarity opposite to the ground bounce. ta bl e 2 1 provides guidelines for the maximum number of simultaneously switching outputs allowed per output power/ground pair to avoid the effects of ground bounce. see ta bl e 2 2 for the number of effective output power/ground pairs for each virtex-e device and package combination. figure 43: overview of standard input and output termination methods x133_07_111699 unterminated double parallel terminated series-parallel terminated output driving a parallel terminated input v tt v tt v ref series terminated output driving a parallel terminated input v tt v ref unterminated output driving a parallel terminated input v tt v ref v tt v tt v ref series terminated output v ref z=50 z=50 z=50 z=50 z=50 z=50 table 21: guidelines for max number of simultaneously switching outputs per power/ground pair standard package bga, cs, fga hq pq, tq lvttl slow slew rate, 2 ma drive 68 49 36 lvttl slow slew rate, 4 ma drive 41 31 20 lvttl slow slew rate, 6 ma drive 29 22 15 lvttl slow slew rate, 8 ma drive 22 17 12 lvttl slow slew rate, 12 ma drive 17 12 9 lvttl slow slew rate, 16 ma drive 14 10 7 lvttl slow slew rate, 24 ma drive 9 7 5 lvttl fast slew rate, 2 ma drive 40 29 21 lvttl fast slew rate, 4 ma drive 24 18 12 lvttl fast slew rate, 6 ma drive 17 13 9 lvttl fast slew rate, 8 ma drive 13 10 7 lvttl fast slew rate, 12 ma drive 10 7 5 lvttl fast slew rate, 16 ma drive 8 6 4 lvttl fast slew rate, 24 ma drive 5 4 3 lv c m o s 10 7 5 pci 8 6 4 gtl 4 4 4 gtl+ 4 4 4
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 40 1-800-255-7778 production product specification hstl class i 18 13 9 hstl class iii 9 7 5 hstl class iv 5 4 3 sstl2 class i 15 11 8 sstl2 class ii 10 7 5 sstl3 class i 11 8 6 sstl3 class ii 7 5 4 ctt 14 10 7 agp 9 7 5 note: this analysis assumes a 35 pf load for each output. table 22: virtex-e equivalent power/ground pairs pkg/part xcv100e xcv200e xcv300e xcv400e xcv600e xcv1000e xcv1600e xcv2000e cs144 12 12 pq240 20 20 20 20 hq240 20 20 bg352 20 32 32 bg432 32 40 40 bg560 40 40 56 58 60 fg256 (1) 20 24 24 fg456 40 40 fg676 54 56 fg680 (2) 46 56 56 56 fg860 58 60 64 fg900 56 58 60 fg1156 96 104 120 notes: 1. virtex-e devices in fg256 packages have more v cco than virtex series devices. 2. fg680 numbers are preliminary. table 21: guidelines for max number of simultaneously switching outputs per power/ground pair (continued) standard package bga, cs, fga hq pq, tq
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 41 application examples creating a design with the selecti/o features requires the instantiation of the desired library symbol within the design code. at the board level, designers need to know the termi- nation techniques required for each i/o standard. this section describes some common application examples illustrating the termination techniques recommended by each of the standards supported by the selecti/o features. termination examples circuit examples involving typical termination techniques for each of the selecti/o standards follow. for a full range of accepted values for the dc voltage specifications for each standard, refer to the table associated with each figure. the resistors used in each termination technique example and the transmission lines depicted represent board level components and are not meant to represent components on the device. gtl a sample circuit illustrating a valid termination technique for gtl is shown in figure 44 . table 23 lists dc voltage specifications. gtl+ a sample circuit illustrating a valid termination technique for gtl+ appears in figure 45 . dc voltage specifications appear in ta b l e 2 4 . figure 44: terminated gtl table 23: gtl voltage specifications parameter min typ max v cco -n/a- v ref = n v tt 1 0.74 0.8 0.86 v tt 1.14 1.2 1.26 v ih = v ref + 0.05 0.79 0.85 - v il = v ref ? 0.05 - 0.75 0.81 v oh --- v ol -0.20.4 i oh at v oh (ma) --- i ol at v ol (ma) at 0.4v 32 - - i ol at v ol (ma) at 0.2v - - 40 notes: 1. n must be greater than or equal to 0.653 and less than or equal to 0.68. v ref = 0.8v v tt = 1.2v 50 ? 50 ? v cco = n/a z = 50 gtl x133_08_111699 v tt = 1.2v figure 45: terminated gtl+ table 24: gtl+ voltage specifications parameter min typ max v cco -- - v ref = n v tt 1 0.88 1.0 1.12 v tt 1.35 1.5 1.65 v ih = v ref + 0.1 0.98 1.1 - v il = v ref ? 0.1 - 0.9 1.02 v oh -- - v ol 0.3 0.45 0.6 i oh at v oh (ma) - - - i ol at v ol (ma) at 0.6v 36 - - i ol at v ol (ma) at 0.3v - - 48 notes: 1. n must be greater than or equal to 0.653 and less than or equal to 0.68. v ref = 1.0v v tt = 1.5v 50? v cco = n/a z = 50 gtl+ x133_09_012400 50? v tt = 1.5v
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 42 1-800-255-7778 production product specification hstl a sample circuit illustrating a valid termination technique for hstl_i appears in figure 46 . a sample circuit illustrating a valid termination technique for hstl_iii appears in figure 47 . a sample circuit illustrating a valid termination technique for hstl_iv appears in figure 48 . table 25: hstl class i voltage specification parameter min typ max v cco 1.40 1.50 1.60 v ref 0.68 0.75 0.90 v tt -v cco 0.5 - v ih v ref + 0.1 - - v il --v ref ? 0.1 v oh v cco ? 0.4 - - v ol 0.4 i oh at v oh (ma) ? 8- - i ol at v ol (ma) 8 - - figure 46: terminated hstl class i table 26: hstl class iii voltage specification parameter min typ max v cco 1.40 1.50 1.60 v ref (1) -0.90- v tt -v cco - v ih v ref + 0.1 - - v il --v ref ? 0.1 v oh v cco ? 0.4 - - v ol --0.4 i oh at v oh (ma) ? 8-- i ol at v ol (ma) 24 - - note: per eia/jesd8-6, ? the value of v ref is to be selected by the user to provide optimum noise margin in the use conditions specified by the user. ? v ref = 0.75v v tt = 0.75v 50 ? v cco = 1.5v z = 50 hstl class i x133_10_111699 figure 47: terminated hstl class iii table 27: hstl class iv voltage specification parameter min typ max v cco 1.40 1.50 1.60 v ref -0.90- v tt -v cco - v ih v ref + 0.1 - - v il --v ref ? 0.1 v oh v cco ? 0.4 - - v ol --0.4 i oh at v oh (ma) ? 8- - i ol at v ol (ma) 48 - - note: per eia/jesd8-6, ? the value of v ref is to be selected by the user to provide optimum noise margin in the use conditions specified by the user. figure 48: terminated hstl class iv v ref = 0.9v v tt = 1.5v 50 ? v cco = 1.5v z = 50 hstl class iii x133_11_111699 50 ? z = 50 hstl class iv x133_12_111699 50 ? v ref = 0.9v v tt = 1.5v v tt = 1.5v v cco = 1.5v
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 43 sstl3_i a sample circuit illustrating a valid termination technique for sstl3_i appears in figure 49 . dc voltage specifications appear in ta b l e 2 8 . sstl3_ii a sample circuit illustrating a valid termination technique for sstl3_ii appears in figure 50 . dc voltage specifications appear in ta b l e 2 9 . sstl2_i a sample circuit illustrating a valid termination technique for sstl2_i appears in figure 51 . dc voltage specifications appear in ta b l e 3 0 . figure 49: terminated sstl3 class i table 28: sstl3_i voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref = 0.45 v cco 1.3 1.5 1.7 v tt = v ref 1.3 1.5 1.7 v ih = v ref + 0.2 1.5 1.7 3.9 (1) v il = v ref ? 0.2 ? 0.3 (2) 1.3 1.5 v oh = v ref + 0.6 1.9 - - v ol = v ref ? 0.6 - - 1.1 i oh at v oh (ma) ? 8- - i ol at v ol (ma) 8 - - notes: 1. v ih maximum is v cco + 0.3 2. v il minimum does not conform to the formula figure 50: terminated sstl3 class ii 50 ? z = 50 sstl3 class i x133_13_111699 25 ? v ref = 1.5v v tt = 1.5v v cco = 3.3v 50 ? z = 50 sstl3 class ii x133_14_111699 25 ? 50 ? v ref = 1.5v v tt = 1.5v v tt = 1.5v v cco = 3.3v table 29: sstl3_ii voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref = 0.45 v cco 1.3 1.5 1.7 v tt = v ref 1.3 1.5 1.7 v ih = v ref + 0.2 1.5 1.7 3.9 (1) v il = v ref ? 0.2 ? 0.3 (2) 1.3 1.5 v oh = v ref + 0.8 2.1 - - v ol = v ref ? 0.8 - - 0.9 i oh at v oh (ma) ? 16 - - i ol at v ol (ma) 16 - - notes: 1. v ih maximum is v cco + 0.3 2. v il minimum does not conform to the formula figure 51: terminated sstl2 class i table 30: sstl2_i voltage specifications parameter min typ max v cco 2.3 2.5 2.7 v ref = 0.5 v cco 1.15 1.25 1.35 v tt = v ref + n (1) 1.11 1.25 1.39 v ih = v ref + 0.18 1.33 1.43 3.0 (2) v il = v ref ? 0.18 ? 0.3 (3) 1.07 1.17 v oh = v ref + 0.61 1.76 - - v ol = v ref ? 0.61 - - 0.74 i oh at v oh (ma) ? 7.6 - - i ol at v ol (ma) 7.6 - - notes: 1. n must be greater than or equal to -0.04 and less than or equal to 0.04. 2. v ih maximum is v cco + 0.3. 3. v il minimum does not conform to the formula. 50 ? z = 50 sstl2 class i xap133_15_011000 25 ? v ref = 1.25v v tt = 1.25v v cco = 2.5v
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 44 1-800-255-7778 production product specification sstl2_ii a sample circuit illustrating a valid termination technique for sstl2_ii appears in figure 52 . dc voltage specifications appear in ta b l e 3 1 . ctt a sample circuit illustrating a valid termination technique for ctt appear in figure 53 . dc voltage specifications appear in table 32 . pci33_3 & pci66_3 pci33_3 or pci66_3 require no termination. dc voltage specifications appear in ta b l e 3 3 . figure 52: terminated sstl2 class ii table 31: sstl2_ii voltage specifications parameter min typ max v cco 2.3 2.5 2.7 v ref = 0.5 v cco 1.15 1.25 1.35 v tt = v ref + n (1) 1.11 1.25 1.39 v ih = v ref + 0.18 1.33 1.43 3.0 (2) v il = v ref ? 0.18 ? 0.3 (3) 1.07 1.17 v oh = v ref + 0.8 1.95 - - v ol = v ref ? 0.8 - - 0.55 i oh at v oh (ma) ? 15.2 - - i ol at v ol (ma) 15.2 - - notes: 1. n must be greater than or equal to -0.04 and less than or equal to 0.04. 2. v ih maximum is v cco + 0.3. 3. v il minimum does not conform to the formula. figure 53: terminated ctt 50 ? z = 50 sstl2 class ii x133_16_111699 25 ? 50 ? v ref = 1.25v v tt = 1.25v v tt = 1.25v v cco = 2.5v v ref = 1.5v v tt = 1.5v 50 ? v cco = 3.3v z = 50 ctt x133_17_111699 table 32: ctt voltage specifications parameter min typ max v cco 2.05 (1) 3.3 3.6 v ref 1.35 1.5 1.65 v tt 1.35 1.5 1.65 v ih = v ref + 0.2 1.55 1.7 - v il = v ref ? 0.2 - 1.3 1.45 v oh = v ref + 0.4 1.75 1.9 - v ol = v ref ? 0.4 - 1.1 1.25 i oh at v oh (ma) ? 8-- i ol at v ol (ma) 8 - - notes: 1. timing delays are calculated based on v cco min of 3.0v. table 33: pci33_3 and pci66_3 voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref -- - v tt -- - v ih = 0.5 v cco 1.5 1.65 v cco +0.5 v il = 0.3 v cco ? 0.5 0.99 1.08 v oh = 0.9 v cco 2.7 - - v ol = 0.1 v cco - - 0.36 i oh at v oh (ma) note 1 - - i ol at v ol (ma) note 1 - - notes: 1. tested according to the relevant specification.
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 45 lvttl lvttl requires no termination. dc voltage specifications appears in table 34 . lvc m o s 2 lvcmos2 requires no termination. dc voltage specifica- tions appear in ta bl e 3 5 . lv c m o s1 8 lvcmos18 does not require termination. ta bl e 3 6 lists dc voltage specifications. agp-2x the specification for the agp-2x standard does not docu- ment a recommended termination technique. dc voltage specifications appear in ta b l e 3 7 . table 34: lvttl voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref -- - v tt -- - v ih 2.0 - 3.6 v il ? 0.5 - 0.8 v oh 2.4 - - v ol --0.4 i oh at v oh (ma) ? 24 - - i ol at v ol (ma) 24 - - notes: 1. note: v ol and v oh for lower drive currents sample tested. table 35: lvcmos2 voltage specifications parameter min typ max v cco 2.3 2.5 2.7 v ref -- - v tt -- - v ih 1.7 - 3.6 v il ? 0.5 - 0.7 v oh 1.9 - - v ol --0.4 i oh at v oh (ma) ? 12 - - i ol at v ol (ma) 12 - - table 36: lvcmos18 voltage specifications parameter min typ max v cco 1.70 1.80 1.90 v ref --- v tt --- v ih 0.65 x v cco -1.95 v il ? 0.5 - 0.2 x v cco v oh v cco ? 0.4 - - v ol --0.4 i oh at v oh (ma) ? 8- - i ol at v ol (ma) 8 - - table 37: agp-2x voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref = n v cco (1) 1.17 1.32 1.48 v tt --- v ih = v ref + 0.2 1.37 1.52 - v il = v ref ? 0.2 - 1.12 1.28 v oh = 0.9 v cco 2.7 3.0 - v ol = 0.1 v cco -0.330.36 i oh at v oh (ma) note 2 - - i ol at v ol (ma) note 2 - - notes: 1. n must be greater than or equal to 0.39 and less than or equal to 0.41. 2. tested according to the relevant specification.
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 46 1-800-255-7778 production product specification lvd s depending on whether the device is transmitting an lvds signal or receiving an lvds signal, there are two different circuits used for lvds termination. a sample circuit illustrat- ing a valid termination technique for transmitting lvds sig- nals appears in figure 54 . a sample circuit illustrating a valid termination for receiving lvds signals appears in figure 55 . ta b l e 3 8 lists dc voltage specifications. further information on the specific termination resistor packs shown can be found on table 40 . lv pe c l depending on whether the device is transmitting or receiv- ing an lvpecl si gnal, two different circuits are used for lvpecl termination. a sample circuit illustrating a valid ter- mination technique for transmitting lvpecl signals appears in figure 56 . a sample circuit illustrating a valid ter- mination for receiving lvpecl signals appears in figure 57 . ta bl e 3 9 lists dc voltage specifications. further information on the specific termination resistor packs shown can be found on ta ble 4 0 . figure 54: transmitting lvds signal circuit figure 55: receiving lvds signal circuit table 38: lvds voltage specifications parameter min typ max v cco 2.375 2.5 2.625 v icm (2) 0.2 1.25 2.2 v ocm (1) 1.125 1.25 1.375 v idiff (1) 0.1 0.35 - v odiff (1) 0.25 0.35 0.45 v oh (1) 1.25 - - v ol (1) --1.25 notes: 1. measured with a 100 ? resistor across q and q . 2. measured with a differential input voltage = + / ? 350 mv. x133_19_122799 q z 0 = 50 ? z 0 = 50 ? q virtex-e fpga to lvds receiver to lvds receiver r div 140 r s 165 r s 165 2.5v v cco = 2.5v lvds output data transmit 1/4 of bourns part number cat16-lv4f12 x133_29_122799 q z 0 = 50 ? lvds_in lvds_in z 0 = 50 ? r t 100 ? q data receive from lvds driver virtex-e fpga + ? table 39: lvpecl voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref -- - v tt -- - v ih 1.49 - 2.72 v il 0.86 - 2.125 v oh 1.8 - - v ol - - 1.57 notes: 1. for more detailed information, see lvpecl dc specifications figure 56: transmitting lvpecl signal circuit figure 57: receiving lvpecl signal circuit x133_20_122799 q z 0 = 50 ? lvpecl_out lvpecl_out z 0 = 50 ? q virtex-e fpga to lvpecl receiver to lvpecl receiver r div 187 r s 100 r s 100 3.3v data transmit 1/4 of bourns part number cat16-pc4f12 x133_21_122799 q z 0 = 50 ? lvpecl_in lvpecl_in z 0 = 50 ? r t 100 ? q data receive from lvpecl driver virtex-e fpga + ?
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 47 termination resistor packs resistor packs are available with the values and the config- uration required for lvds and lvpecl termination from bourns, inc., as listed in table. for pricing and availability, please contact bourns directly at http://www.bourns.com . lvds design guide the selecti/o library elements have been expanded for vir- tex-e devices to include new lvds variants. at this time all of the cells might not be included in the synthesis libraries. the 2.1i-service pack 2 update for alliance and foundation software includes these cells in the vhdl and verilog librar- ies. it is necessary to combine these cells to create the p-side (positive) and n-side (negative) as described in the input, output, 3-state and bidirectional sections. creating lvds global clock input buffers global clock input buffers can be combined with adjacent iobs to form lvds clock input buffers. p-side is the gclk- pad location; n-side is the adjacent io_lvds_dll site. hdl instantiation only one global clock input buffer is required to be instanti- ated in the design and placed on the correct gclkpad location. the n-side of the buffer is reserved and no other iob is allowed to be placed on this location. in the physical device, a configuration option is enabled that routes the pad wire to the differential input buffer located in the gclkiob. the output of this buffer then drives the out- put of the gclkiob cell. in epic it appears that the second buffer is unused. any attempt to use this location for another purpose leads to a drc error in the software. vhdl instantiation gclk0_p : ibufg_lvds port map (i=>clk_external, o=>clk_internal); verilog instantiation ibufg_lvds gclk0_p (.i(clk_external), .o(clk_internal)); location constraints all lvds buffers must be explicitly placed on a device. for the global clock input buffers this can be done with the fol- lowing constraint in the .ucf or .ncf file. net clk_external loc = gclkpad3; gclkpad3 can also be replaced with the package pin name such as d17 for the bg432 package. table 40: bourns lvds/lvpecl resistor packs part number i/o standard term. for: pairs/ pack pins cat16 ? lv 2 f 6 lv d s d r i ve r 2 8 cat16 ? lv 4 f 12 lv d s d r i ve r 4 1 6 cat16 ? pc2f6 lvpecl driver 2 8 cat16 ? pc4f12 lvpecl driver 4 16 cat16 ? pt2f2 lvds/lvpecl receiver 2 8 cat16 ? pt4f4 lvds/lvpecl receiver 4 16 figure 58: lvds elements o i ibuf_lvds o i obuf_lvds iobuf_lvds o o t i obuft_lvds o i ibufg_lvds io t i x133_22_122299 table 41: global clock input buffer pair locations pkg gclk 3 gclk 2 gclk 1 gclk 0 pnpn p n p n cs144 a6 c6 a7 b7 m7 m6 k7 n8 pq240 p213 p215 p210 p209 p89 p87 p92 p93 hq240 p213 p215 p210 p209 p89 p87 p92 p93 bg352 d14 a15 b14 a13 af14 ad14 ae13 ac13 bg432 d17 c17 a16 b16 ak16 al17 al16 ah15 bg560 a17 c18 d17 e17 aj17 am18 al17 am17 fg256 b8 a7 c9 a8 r8 t8 n8 n9 fg456 c11 b11 a11 d11 yll aa11 w12 u12 fg676 e13 b13 c13 f14 ab13 af13 aa14 ac14 fg680 a20 c22 d21 a19 au22 at22 aw19 at21 fg860 c22 a22 b22 d22 ay22 aw21 ba22 aw20 fg900 c15 a15 e15 e16 ak16 ah16 aj16 af16 fg1156 e17 c17 d17 j18 al19 al17 ah18 am18
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 48 1-800-255-7778 production product specification optional n-side some designers might prefer to also instantiate the n-side buffer for the global clock buffer. this allows the top-level net list to include net connections for both pcb layout and sys- tem-level integration. in this case, only the output p-side ibufg connection has a net connected to it. since the n-side ibufg does not have a connection in the edif net list, it is trimmed from the design in map. vhdl instantiation gclk0_p : ibufg_lvds port map (i=>clk_p_external, o=>clk_internal); gclk0_n : ibufg_lvds port map (i=>clk_n_external, o=>clk_internal); verilog instantiation ibufg_lvds gclk0_p (.i(clk_p_external), .o(clk_internal)); ibufg_lvds gclk0_n (.i(clk_n_external), .o(clk_internal)); location constraints all lvds buffers must be explicitly placed on a device. for the global clock input buffers this can be done with the fol- lowing constraint in the .ucf or .ncf file. net clk_p_external loc = gclkpad3; net clk_n_external loc = c17; gclkpad3 can also be replaced with the package pin name, such as d17 for the bg432 package. creating lvds input buffers an lvds input buffer can be placed in a wide number of iob locations. the exact location is dependent on the package that is used. the virtex-e package information lists the pos- sible locations as io_l#p for the p-side and io_l#n for the n-side where # is the pair number. hdl instantiation only one input buffer is required to be instantiated in the design and placed on the correct io_l#p location. the n-side of the buffer is reserved and no other iob is allowed to be placed on this location. in the physical device, a con- figuration option is enabled that routes the pad wire from the io_l#n iob to the differential input buffer located in the io_l#p iob. the output of this buffer then drives the output of the io_l#p cell or the input register in the io_l#p iob. in epic it appears that the second buffer is unused. any attempt to use this location for another purpose leads to a drc error in the software. vhdl instantiation data0_p : ibuf_lvds port map (i=>data(0), o=>data_int(0)); verilog instantiation ibuf_lvds data0_p (.i(data[0]), .o(data_int[0])); location constraints all lvds buffers must be explicitly placed on a device. for the input buffers this can be done with the following con- straint in the .ucf or .ncf file. net data<0> loc = d28; # io_l0p optional n-side some designers might prefer to also instantiate the n-side buffer for the input buffer. this allows the top-level net list to include net connections for both pcb layout and sys- tem-level integration. in this case, only the output p-side ibuf connection has a net connected to it. since the n-side ibuf does not have a connection in the edif net list, it is trimmed from the design in map. vhdl instantiation data0_p : ibuf_lvds port map (i=>data_p(0), o=>data_int(0)); data0_n : ibuf_lvds port map (i=>data_n(0), o=>open); verilog instantiation ibuf_lvds data0_p (.i(data_p[0]), .o(data_int[0])); ibuf_lvds data0_n (.i(data_n[0]), .o()); location constraints all lvds buffers must be explicitly placed on a device. for the global clock input buffers this can be done with the fol- lowing constraint in the .ucf or .ncf file. net data_p<0> loc = d28; # io_l0p net data_n<0> loc = b29; # io_l0n adding an input register all lvds buffers can have an input register in the iob. the input register is in the p-side iob only. all the normal iob register options are available (fd, fde, fdc, fdce, fdp, fdpe, fdr, fdre, fds, fdse, ld, lde, ldc, ldce, ldp, ldpe). the register elements can be inferred or explicitly instantiated in the hdl code. the register elements can be packed in the iob using the iob property to true on the register or by using the ? map -pr [i|o|b] ? where ? i ? is inputs only, ? o ? is outputs only and ? b ? is both inputs and outputs. to improve design coding times vhdl and verilog synthesis macro libraries available to explicitly create these structures. the input library macros are listed in ta ble 4 2 . the i and ib inputs to the macros are the external net connections.
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 49 creating lvds output buffers lvds output buffers can be placed in a wide number of iob locations. the exact locations are dependent on the pack- age used. the virtex-e package information lists the possi- ble locations as io_l#p for the p-side and io_l#n for the n-side, where # is the pair number. hdl instantiation both output buffers are required to be instantiated in the design and placed on the correct io_l#p and io_l#n loca- tions. the iob must have the same net source the following pins, clock (c), set/reset (sr), output (o), output clock enable (oce). in addition, the output (o) pins must be inverted with respect to each other, and if output registers are used, the init states must be opposite values (one high and one low). failure to follow these rules leads to drc errors in software. vhdl instantiation data0_p : obuf_lvds port map (i=>data_int(0), o=>data_p(0)); data0_inv: inv port map (i=>data_int(0), o=>data_n_int(0)); data0_n : obuf_lvds port map (i=>data_n_int(0), o=>data_n(0)); verilog instantiation obuf_lvds data0_p (.i(data_int[0]), .o(data_p[0])); inv data0_inv (.i(data_int[0], .o(data_n_int[0]); obuf_lvds data0_n (.i(data_n_int[0]), .o(data_n[0])); location constraints all lvds buffers must be explicitly placed on a device. for the output buffers this can be done with the following con- straint in the .ucf or .ncf file. net data_p<0> loc = d28; # io_l0p net data_n<0> loc = b29; # io_l0n synchronous vs. asynchronous outputs if the outputs are synchronous (registered in the iob) then any io_l#p|n pair can be used. if the outputs are asynchro- nous (no output register), then they must use one of the pairs that are part of the same iob group at the end of a row or column in the device. the lvds pairs that can be used as asynchronous outputs are listed in the virtex-e pinout tables. some pairs are marked as asynchronous-capable for all devices in that package, and others are marked as available only for that device in the package. if the device size might change at some point in the product lifetime, then only the common pairs for all packages should be used. adding an output register all lvds buffers can have an output register in the iob. the output registers must be in both the p-side and n-side iobs. all the normal iob register options are available (fd, fde, fdc, fdce, fdp, fdpe, fdr, fdre, fds, fdse, ld, lde, ldc, ldce, ldp, ldpe). the register elements can be inferred or explicitly instantiated in the hdl code. special care must be taken to insure that the d pins of the registers are inverted and that the init states of the regis- ters are opposite. the clock pin (c), clock enable (ce) and set/reset (clr/pre or s/r) pins must connect to the same source. failure to do this leads to a drc error in the soft- ware. the register elements can be packed in the iob using the iob property to true on the register or by using the ? map -pr [i|o|b] ? where ? i ? is inputs only, ? o ? is outputs only and ? b ? is both inputs and outputs. to improve design coding times vhdl and verilog synthe- sis macro libraries have been developed to explicitly create these structures. the output library macros are listed in ta ble 4 3 . the o and ob inputs to the macros are the exter- nal net connections. table 42: input library macros name inputs outputs ibufds_fd_lvds i, ib, c q ibufds_fde_lvds i, ib, ce, c q ibufds_fdc_lvds i, ib, c, clr q ibufds_fdce_lvds i, ib, ce, c, clr q ibufds_fdp_lvds i, ib, c, pre q ibufds_fdpe_lvds i, ib, ce, c, pre q ibufds_fdr_lvds i, ib, c, r q ibufds_fdre_lvds i, ib, ce, c, r q ibufds_fds_lvds i, ib, c, s q ibufds_fdse_lvds i, ib, ce, c, s q ibufds_ld_lvds i, ib, g q ibufds_lde_lvds i, ib, ge, g q ibufds_ldc_lvds i, ib, g, clr q ibufds_ldce_lvds i, ib, ge, g, clr q ibufds_ldp_lvds i, ib, g, pre q ibufds_ldpe_lvds i, ib, ge, g, pre q
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 50 1-800-255-7778 production product specification creating lvds output 3-state buffers lvds output 3-state buffers can be placed in a wide number of iob locations. the exact locations are dependent on the package used. the virtex-e package information lists the possible locations as io_l#p for the p-side and io_l#n for the n-side, where # is the pair number. hdl instantiation both output 3-state buffers are required to be instantiated in the design and placed on the correct io_l#p and io_l#n locations. the iob must have the same net source the fol- lowing pins, clock (c), set/reset (sr), 3-state (t), 3-state clock enable (tce), output (o), output clock enable (oce). in addition, the output (o) pins must be inverted with respect to each other, and if output registers are used, the init states must be opposite values (one high and one low). if 3-state registers are used, they must be initialized to the same state. failure to follow these rules leads to drc errors in the software. vhdl instantiation data0_p: obuft_lvds port map (i=>data_int(0), t=>data_tri, o=>data_p(0)); data0_inv: inv port map (i=>data_int(0), o=>data_n_int(0)); data0_n: obuft_lvds port map (i=>data_n_int(0), t=>data_tri, o=>data_n(0)); verilog instantiation obuft_lvds data0_p (.i(data_int[0]), .t(data_tri), .o(data_p[0])); inv data0_inv (.i(data_int[0], .o(data_n_int[0]); obuft_lvds data0_n (.i(data_n_int[0]), .t(data_tri), .o(data_n[0])); location constraints all lvds buffers must be explicitly placed on a device. for the output buffers this can be done with the following con- straint in the .ucf or .ncf file. net data_p<0> loc = d28; # io_l0p net data_n<0> loc = b29; # io_l0n synchronous vs. asynchronous 3-state outputs if the outputs are synchronous (registered in the iob), then any io_l#p|n pair can be used. if the outputs are asynchro- nous (no output register), then they must use one of the pairs that are part of the same iob group at the end of a row or column in the device. this applies for either the 3-state pin or the data out pin. lvds pairs that can be used as asynchronous outputs are listed in the virtex-e pinout tables. some pairs are marked as ? asynchronous capable ? for all devices in that package, and others are marked as available only for that device in the package. if the device size might be changed at some point in the product lifetime, then only the common pairs for all packages should be used. adding output and 3-state registers all lvds buffers can have an output register in the iob. the output registers must be in both the p-side and n-side iobs. all the normal iob register options are available (fd, fde, fdc, fdce, fdp, fdpe, fdr, fdre, fds, fdse, ld, lde, ldc, ldce, ldp, ldpe). the register elements can be inferred or explicitly instantiated in the hdl code. special care must be taken to insure that the d pins of the registers are inverted and that the init states of the regis- ters are opposite. the 3-state (t), 3-state clock enable (ce), clock pin (c), output clock enable (ce) and set/reset (clr/pre or s/r) pins must connect to the same source. failure to do this leads to a drc error in the software. table 43: output library macros name inputs outputs obufds_fd_lvds d, c o, ob obufds_fde_lvds dd, ce, c o, ob obufds_fdc_lvds d, c, clr o, ob obufds_fdce_lvds d, ce, c, clr o, ob obufds_fdp_lvds d, c, pre o, ob obufds_fdpe_lvds d, ce, c, pre o, ob obufds_fdr_lvds d, c, r o, ob obufds_fdre_lvds d, ce, c, r o, ob obufds_fds_lvds d, c, s o, ob obufds_fdse_lvds d, ce, c, s o, ob obufds_ld_lvds d, g o, ob obufds_lde_lvds d, ge, g o, ob obufds_ldc_lvds d, g, clr o, ob obufds_ldce_lvds d, ge, g, clr o, ob obufds_ldp_lvds d, g, pre o, ob obufds_ldpe_lvds d, ge, g, pre o, ob
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 51 the register elements can be packed in the iob using the iob property to true on the register or by using the ? map -pr [i|o|b] ? where ? i ? is inputs only, ? o ? is outputs only and ? b ? is both inputs and outputs. to improve design coding times vhdl and verilog synthe- sis macro libraries have been developed to explicitly create these structures. the input library macros are listed below. the 3-state is configured to be 3-stated at gsr and when the pre,clr,s or r is asserted and shares it's clock enable with the output register. if this is not desirable then the library can be updated by the user for the desired func- tionality. the o and ob inputs to the macros are the exter- nal net connections. creating a lvds bidirectional buffer lvds bidirectional buffers can be placed in a wide number of iob locations. the exact locations are dependent on the package used. the virtex-e package information lists the possible locations as io_l#p for the p-side and io_l#n for the n-side, where # is the pair number. hdl instantiation both bidirectional buffers are required to be instantiated in the design and placed on the correct io_l#p and io_l#n locations. the iob must have the same net source the fol- lowing pins, clock (c), set/reset (sr), 3-state (t), 3-state clock enable (tce), output (o), output clock enable (oce). in addition, the output (o) pins must be inverted with respect to each other, and if output registers are used, the init states must be opposite values (one high and one low). if 3-state registers are used, they must be initialized to the same state. failure to follow these rules leads to drc errors in the software. vhdl instantiation data0_p: iobuf_lvds port map (i=>data_out(0), t=>data_tri, io=>data_p(0), o=>data_int(0)); data0_inv: inv port map (i=>data_out(0), o=>data_n_out(0)); data0_n : iobuf_lvds port map (i=>data_n_out(0), t=>data_tri, io=>data_n(0), o=>open); verilog instantiation iobuf_lvds data0_p(.i(data_out[0]), .t(data_tri), .io(data_p[0]), .o(data_int[0]); inv data0_inv (.i(data_out[0], .o(data_n_out[0]); iobuf_lvds data0_n(.i(data_n_out[0]),.t(data_tri),. io(data_n[0]).o()); location constraints all lvds buffers must be explicitly placed on a device. for the output buffers this can be done with the following con- straint in the .ucf or .ncf file. net data_p<0> loc = d28; # io_l0p net data_n<0> loc = b29; # io_l0n synchronous vs. asynchronous bidirectional buffers if the output side of the bidirectional buffers are synchro- nous (registered in the iob), then any io_l#p|n pair can be used. if the output side of the bidirectional buffers are asyn- chronous (no output register), then they must use one of the pairs that is a part of the asynchronous lvds iob group. this applies for either the 3-state pin or the data out pin. the lvds pairs that can be used as asynchronous bidirec- tional buffers are listed in the virtex-e pinout tables. some pairs are marked as asynchronous capable for all devices in that package, and others are marked as available only for that device in the package. if the device size might change at some point in the product ? s lifetime, then only the com- mon pairs for all packages should be used. adding output and 3-state registers all lvds buffers can have an output and input registers in the iob. the output registers must be in both the p-side and n-side iobs, the input register is only in the p-side. all the normal iob register options are available (fd, fde, fdc, fdce, fdp, fdpe, fdr, fdre, fds, fdse, ld, lde, ldc, ldce, ldp, ldpe). the register elements can be inferred or explicitly instantiated in the hdl code. special care must be taken to insure that the d pins of the registers are inverted and that the init states of the registers are opposite. the 3-state (t), 3-state clock enable (ce), clock pin (c), output clock enable (ce), and set/reset (clr/pre or s/r) pins must connect to the same source. failure to do this leads to a drc error in the software. the register elements can be packed in the iob using the iob property to true on the register or by using the ? map -pr [i|o|b] ? where ? i ? is inputs only, ? o ? is outputs only and ? b ? is both inputs and outputs. to improve design coding times vhdl and verilog synthesis macro libraries have been developed to explicitly create these structures. the bidirec- tional i/o library macros are listed in ta bl e 4 4 . the 3-state is configured to be 3-stated at gsr and when the pre,clr,s or r is asserted and shares its clock enable with the output and input register. if this is not desirable then the library can be updated be the user for the desired functionality. the i/o and iob inputs to the macros are the external net connec- tions.
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 52 1-800-255-7778 production product specification revision history the following table shows the revision history for this document. table 44: bidirectional i/o library macros name inputs bidirectional outputs iobufds_fd_lvds d, t, c io, iob q iobufds_fde_lvds d, t, ce, c io, iob q iobufds_fdc_lvds d, t, c, clr io, iob q iobufds_fdce_lvds d, t, ce, c, clr io, iob q iobufds_fdp_lvds d, t, c, pre io, iob q iobufds_fdpe_lvds d, t, ce, c, pre io, iob q iobufds_fdr_lvds d, t, c, r io, iob q iobufds_fdre_lvds d, t, ce, c, r io, iob q iobufds_fds_lvds d, t, c, s io, iob q iobufds_fdse_lvds d, t, ce, c, s io, iob q iobufds_ld_lvds d, t, g io, iob q iobufds_lde_lvds d, t, ge, g io, iob q iobufds_ldc_lvds d, t, g, clr io, iob q iobufds_ldce_lvds d, t, ge, g, clr io, iob q iobufds_ldp_lvds d, t, g, pre io, iob q iobufds_ldpe_lvds d, t, ge, g, pre io, iob q date version revision 12/7/99 1.0 initial xilinx release. 1/10/00 1.1 re-released with spd.txt v. 1.18, fg860/900/1156 package information, and additional dll, select ram and selecti/o information. 1/28/00 1.2 added delay measurement methodology table, updated selecti/o section, figures 30, 54, & 55, text explaining table 5, t byp values, buffered hex line info, p. 8, i/o timing measurement notes, notes for tables 15, 16, and corrected f1156 pinout table footnote references. 2/29/00 1.3 updated pinout tables, v cc page 20, and corrected figure 20. 5/23/00 1.4 correction to table on p. 22. 7/10/00 1.5  numerous minor edits.  data sheet upgraded to preliminary.  preview -8 numbers added to virtex-e electrical characteristics tables. 8/1/00 1.6  reformatted entire document to follow new style guidelines.  changed speed grade values in tables on pages 35-37.
virtex ? -e 1.8 v field programmable gate arrays r ds022-2 (v2.6) november 19, 2002 www.xilinx.com module 2 of 4 production product specification 1-800-255-7778 53 virtex-e data sheet the virtex-e data sheet contains the following modules:  ds022-1, virtex-e 1.8v fpgas: introduction and ordering information (module 1)  ds022-2, virtex-e 1.8v fpgas: functional description (module 2)  ds022-3, virtex-e 1.8v fpgas: dc and switching characteristics (module 3)  ds022-4, virtex-e 1.8v fpgas: pinout tables (module 4) 9/20/00 1.7  min values added to virtex-e electrical characteristics tables.  xcv2600e and xcv3200e numbers added to virtex-e electrical characteristics tables (module 3).  corrected user i/o count for xcv100e device in table 1 (module 1).  changed several pins to ? no connect in the xcv100e ? and removed duplicate v ccint pins in table ~ (module 4).  changed pin j10 to ? no connect in xcv600e ? in table 74 (module 4).  changed pin j30 to ? vref option only in the xcv600e ? in table 74 (module 4).  corrected pair 18 in table 75 (module 4) to be ? ao in the xcv1000e, xcv1600e ? . 11/20/00 1.8  upgraded speed grade -8 numbers in virtex-e electrical characteristics tables to preliminary.  updated minimums in table 13 and added notes to table 14.  added to note 2 to absolute maximum ratings .  changed speed grade -8 numbers for t shcko32 , t reg , t bccs , and t ickof .  changed all minimum hold times to ? 0.4 under global clock set-up and hold for lvttl standard, with dll .  revised maximum t dllpw in -6 speed grade for dll timing parameters .  changed gclk0 to ba22 for fg860 package in table 46. 2/12/01 1.9  revised footnote for table 14.  added numbers to virtex-e electrical characteristics tables for xcv1000e and xcv2000e devices.  updated table 27 and table 78 to include values for xcv400e and xcv600e devices.  revised table 62 to include pinout information for the xcv400e and xcv600e devices in the bg560 package.  updated footnotes 1 and 2 for table 76 to include xcv2600e and xcv3200e devices. 4/02/01 2.0  updated numerous values in virtex-e switching characteristics tables.  converted data sheet to modularized format. see the virtex-e data sheet section. 4/19/01 2.1  modified figure 30 "dll generation of 4x clock in virtex-e devices." 07/23/01 2.2  made minor edits to text under configuration .  added clb column locations for xcv2600e anbd xcv3200e devices in table 3 . 11/09/01 2.3  added warning under configuration section that attempting to load an incorrect bitstream causes configuration to fail and can damage the device. 07/17/02 2.4  data sheet designation upgraded from preliminary to production. 09/10/02 2.5  added clarification to the input/output block , configuration , boundary-scan mode , and block selectram sections. revised figure 18 , ta b l e 1 1 , and ta bl e 3 6 . 11/19/02 2.6  added clarification in the boundary scan section.  removed last sentence regarding deactivation of duty-cycle correction in duty cycle correction property section. date version revision
virtex ? -e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.6) november 19, 2002 54 1-800-255-7778 production product specification
? 2000-2003 xilinx, inc. all rights reserved. all xilinx trademarks, registered trademarks, patents, and disclaimers are as lis ted at http://www.xilinx.com/legal.htm . all other trademarks and registered trademarks are the property of their respective owners. all specifications are subject to c hange without notice. ds022-3 (v2.9.2) march 14, 2003 www.xilinx.com module 3 of 4 production product specification 1-800-255-7778 1 virtex-e electrical characteristics definition of terms electrical and switching characteristics are specified on a per-speed-grade basis and can be designated as advance, preliminary, or production. each designation is defined as follows: advance : these speed files are based on simulations only and are typically available soon after device design specifi- cations are frozen. although speed grades with this desig- nation are considered relatively stable and conservative, some under-reporting might still occur. preliminary : these speed files are based on complete es (engineering sample) silicon characterization. devices and speed grades with this designation are intended to give a better indication of the expected performance of production silicon. the probability of unde r-reporting delays is greatly reduced as compared to advance data. production : these speed files are released once enough production silicon of a particular device family member has been characterized to provide full correlation between speed files and devices over numerous production lots. there is no under-reporting of delays, and customers receive formal notification of any subsequent changes. typ- ically, the slowest speed grades transition to production before faster speed grades. all specifications are representative of worst-case supply voltage and junction temperature conditions. the parame- ters included are common to popular designs and typical applications. contact the factory for design considerations requiring more detailed information. ta ble 1 correlates the current status of each virtex-e device with a corresponding speed file designation. all specifications are subject to change without notice. 0 virtex?-e 1.8 v field programmable gate arrays ds022-3 (v2.9.2) march 14, 2003 00 production product specification r ta ble 1 : virtex-e device speed grade designations device speed grade designations advance preliminary production XCV50E ?8, ?7, ?6 xcv100e ?8, ?7, ?6 xcv200e ?8, ?7, ?6 xcv300e ?8, ?7, ?6 xcv400e ?8, ?7, ?6 xcv600e ?8, ?7, ?6 xcv1000e ?8, ?7, ?6 xcv1600e ?8, ?7, ?6 xcv2000e ?8, ?7, ?6 xcv2600e ?8, ?7, ?6 xcv3200e ?8, ?7, ?6
virtex ? -e 1.8 v field programmable gate arrays r module 3 of 4 www.xilinx.com ds022-3 (v2.9.2) march 14, 2003 2 1-800-255-7778 production product specification dc characteristics absolute maximum ratings recommended operating conditions symbol description (1) units v ccint internal supply voltage relative to gnd ? 0.5 to 2.0 v v cco supply voltage relative to gnd ? 0.5 to 4.0 v v ref input reference voltage ? 0.5 to 4.0 v v in (3) input voltage relative to gnd ? 0.5 to v cco +0.5 v v ts voltage applied to 3-state output ? 0.5 to 4.0 v v cc longest supply voltage rise time from 0 v - 1.71 v 50 ms t stg storage temperature (ambient) ? 65 to +150 c t j junction temperature (2) plastic packages +125 c notes: 1. stresses beyond those listed under absolute maximum ratings can cause permanent damage to the device. these are stress ratings only, and functional operation of the device at these or any other conditions beyond those listed under operating condi tions is not implied. exposure to absolute maximum ratings conditions for extended periods of time can affect device reliability. 2. for soldering guidelines and thermal considerations, see the device packaging information on www.xilinx.com . 3. inputs configured as pci are fully pci compliant. this statement takes precedence over any specification that would imply tha t the device is not pci compliant. symbol description min max units v ccint internal supply voltage relative to gnd, t j = 0 c to +85 c commercial 1.8 ? 5% 1.8 + 5% v internal supply voltage relative to gnd, t j = ? 40 c to +100 c industrial 1.8 ? 5% 1.8 + 5% v v cco supply voltage relative to gnd, t j = 0 c to +85 c commercial 1.2 3.6 v supply voltage relative to gnd, t j = ? 40 c to +100 c industrial 1.2 3.6 v t in input signal transition time 250 ns
virtex ? -e 1.8 v field programmable gate arrays r ds022-3 (v2.9.2) march 14, 2003 www.xilinx.com module 3 of 4 production product specification 1-800-255-7778 3 dc characteristics over recommended operating conditions symbol description device min max units v drint data retention v ccint vol tag e (below which configuration data might be lost) all 1.5 v v drio data retention v cco voltage (below which configuration data might be lost) all 1.2 v i ccintq quiescent v ccint supply current (note 1) XCV50E 200 ma xcv100e 200 ma xcv200e 300 ma xcv300e 300 ma xcv400e 300 ma xcv600e 400 ma xcv1000e 500 ma xcv1600e 500 ma xcv2000e 500 ma xcv2600e 500 ma xcv3200e 500 ma i ccoq quiescent v cco supply current (note 1) XCV50E 2 ma xcv100e 2 ma xcv200e 2 ma xcv300e 2 ma xcv400e 2 ma xcv600e 2 ma xcv1000e 2 ma xcv1600e 2 ma xcv2000e 2 ma xcv2600e 2 ma xcv3200e 2 ma i l input or output leakage current all ? 10 +10 a c in input capacitance (sample tested) bga, pq, hq, packages all 8 pf i rpu pad pull-up (when selected) @ v in = 0 v, v cco = 3.3 v (sample tested) all note 2 0.25 ma i rpd pad pull-down (when selected) @ v in = 3.6 v (sample tested) note 2 0.25 ma notes: 1. with no output current loads, no active input pull-up resistors, all i/o pins 3-stated and floating. 2. internal pull-up and pull-down resistors guarantee valid logic levels at unconnected input pins. these pull-up and pull-down resistors do not guarantee valid logic levels when input pins are connected to other circuits.
virtex ? -e 1.8 v field programmable gate arrays r module 3 of 4 www.xilinx.com ds022-3 (v2.9.2) march 14, 2003 4 1-800-255-7778 production product specification power-on power supply requirements xilinx fpgas require a certain amount of supply current during power-on to insure proper device operation. the actual current consumed depends on the power-on ramp rate of the power supply. this is the time required to reach the nominal power supply voltage of the device 1 from 0v. the fastest ramp rate is 0v to nominal voltage in 2 ms, and the slowest allowed ramp rate is 0v to nominal voltage in 50 ms. for more details on power supply requirements, see xapp158 on www.xilinx.com . dc input and output levels values for v il and v ih are recommended input voltages. values for i ol and i oh are guaranteed over the recommended operating conditions at the v ol and v oh test points. only selected standards are tested. these are chosen to ensure that all standards meet their specifications. the selected standards are tested at minimum v cco with the respective v ol and v oh voltage levels shown. other standards are sample tested. product (commercial grade) description (2) current requirement (3) XCV50E - xcv600e minimum required current supply 500 ma xcv812e - xcv2000e minimum required current supply 1 a xcv2600e - xcv3200e minimum required current supply 1.2 a virtex-e family, industrial grade minimum required current supply 2 a notes: 1. ramp rate used for this specification is from 0 - 1.8 v dc. peak current occurs on or near the internal power-on reset thresh old and lasts for less than 3 ms. 2. devices are guaranteed to initialize properly with the minimum current available from the power supply as noted above. 3. larger currents might result if ramp rates are forced to be faster. input/output standard v il v ih v ol v oh i ol i oh v, min v, max v, min v, max v, max v, min ma ma lv t t l (1) ? 0.5 0.8 2.0 3.6 0.4 2.4 24 ? 24 lv c m o s 2 ? 0.5 0.7 1.7 2.7 0.4 1.9 12 ? 12 lv c m o s 1 8 ? 0.5 35% v cco 65% v cco 1.95 0.4 v cco ? 0.4 8 ? 8 pci, 3.3 v ? 0.5 30% v cco 50% v cco v cco + 0.5 10% v cco 90% v cco note 2 note 2 gtl ? 0.5 v ref ? 0.05 v ref + 0.05 3.6 0.4 n/a 40 n/a gtl+ ? 0.5 v ref ? 0.1 v ref + 0.1 3.6 0.6 n/a 36 n/a hstl i (3) ? 0.5 v ref ? 0.1 v ref + 0.1 3.6 0.4 v cco ? 0.4 8 ? 8 hstl iii ? 0.5 v ref ? 0.1 v ref + 0.1 3.6 0.4 v cco ? 0.4 24 ? 8 hstl iv ? 0.5 v ref ? 0.1 v ref + 0.1 3.6 0.4 v cco ? 0.4 48 ? 8 sstl3 i ? 0.5 v ref ? 0.2 v ref + 0.2 3.6 v ref ? 0.6 v ref + 0.6 8 ? 8 sstl3 ii ? 0.5 v ref ? 0.2 v ref + 0.2 3.6 v ref ? 0.8 v ref + 0.8 16 ? 16 sstl2 i ? 0.5 v ref ? 0.2 v ref + 0.2 3.6 v ref ? 0.61 v ref + 0.61 7.6 ? 7.6 sstl2 ii ? 0.5 v ref ? 0.2 v ref + 0.2 3.6 v ref ? 0.80 v ref + 0.80 15.2 ? 15.2
virtex ? -e 1.8 v field programmable gate arrays r ds022-3 (v2.9.2) march 14, 2003 www.xilinx.com module 3 of 4 production product specification 1-800-255-7778 5 lvds dc specifications lvpecl dc specifications these values are valid at the output of the source termination pack shown under lv p e c l , with a 100 ? differential load only. the v oh levels are 200 mv below standard lvpecl levels and are compatible with devices tolerant of lower common-mode ranges. the following table summarizes the dc output specifications of lvpecl. ctt ? 0.5 v ref ? 0.2 v ref + 0.2 3.6 v ref ? 0.4 v ref + 0.4 8 ? 8 agp ? 0.5 v ref ? 0.2 v ref + 0.2 3.6 10% v cco 90% v cco note 2 note 2 notes: 1. v ol and v oh for lower drive currents are sample tested. 2. tested according to the relevant specifications. 3. dc input and output levels for hstl18 (hstl i/o standard with v cco of 1.8 v) are provided in an hstl white paper on www.xilinx.com . dc parameter symbol conditions min typ max units supply voltage v cco 2.375 2.5 2.625 v output high voltage for q and q v oh r t = 100 ? across q and q signals 1.25 1.425 1.6 v output low voltage for q and q v ol r t = 100 ? across q and q signals 0.9 1.075 1.25 v differential output voltage (q ? q ), q = high (q ? q), q = high v odiff r t = 100 ? across q and q signals 250 350 450 mv output common-mode voltage v ocm r t = 100 ? across q and q signals 1.125 1.25 1.375 v differential input voltage (q ? q ), q = high (q ? q), q = high v idiff common-mode input voltage = 1.25 v 100 350 na mv input common-mode voltage v icm differential input voltage = 350 mv 0.2 1.25 2.2 v note: refer to the design consideration section for termination schematics. input/output standard v il v ih v ol v oh i ol i oh v, min v, max v, min v, max v, max v, min ma ma dc parameter min max min max min max units v cco 3.0 3.3 3.6 v v oh 1.8 2.11 1.92 2.28 2.13 2.41 v v ol 0.96 1.27 1.06 1.43 1.30 1.57 v v ih 1.49 2.72 1.49 2.72 1.49 2.72 v v il 0.86 2.125 0.86 2.125 0.86 2.125 v differential input voltage 0.3 - 0.3 - 0.3 - v
virtex ? -e 1.8 v field programmable gate arrays r module 3 of 4 www.xilinx.com ds022-3 (v2.9.2) march 14, 2003 6 1-800-255-7778 production product specification virtex-e switching characteristics all devices are 100% functionally tested. internal timing parameters are derived from measuring internal test patterns. listed below are representative values. for more specific, more precise, and worst-case guaranteed data, use the values reported by the static timing analyzer (trce in the xilinx development system) and back-annotated to the simulation net list. all timing parameters assume worst-case operating conditions (supply voltage and junction temperature). values apply to all virtex-e devices unless otherwise noted. iob input switching characteristics input delays associated with the pad are specified for lvttl levels in ta bl e 2 . for other standards, adjust the delays with the values shown in iob input switching characteristics standard adjustments , page 8 . table 2: iob input switching characteristics speed grade (1) units description (2) symbol device min -8 -7 -6 propagation delays pad to i output, no delay t iopi all 0.43 0.8 0.8 0.8 ns, max pad to i output, with delay t iopid XCV50E 0.51 1.0 1.0 1.0 ns, max xcv100e 0.51 1.0 1.0 1.0 ns, max xcv200e 0.51 1.0 1.0 1.0 ns, max xcv300e 0.51 1.0 1.0 1.0 ns, max xcv400e 0.51 1.0 1.0 1.0 ns, max xcv600e 0.51 1.0 1.0 1.0 ns, max xcv1000e 0.55 1.1 1.1 1.1 ns, max xcv1600e 0.55 1.1 1.1 1.1 ns, max xcv2000e 0.55 1.1 1.1 1.1 ns, max xcv2600e 0.55 1.1 1.1 1.1 ns, max xcv3200e 0.55 1.1 1.1 1.1 ns, max pad to output iq via transparent latch, no delay t iopli all 0.8 1.4 1.5 1.6 ns, max pad to output iq via transparent latch, with delay t ioplid XCV50E 1.31 2.9 3.0 3.1 ns, max xcv100e 1.31 2.9 3.0 3.1 ns, max xcv200e 1.39 3.1 3.2 3.3 ns, max xcv300e 1.39 3.1 3.2 3.3 ns, max xcv400e 1.43 3.2 3.3 3.4 ns, max xcv600e 1.55 3.5 3.6 3.7 ns, max xcv1000e 1.55 3.5 3.6 3.7 ns, max xcv1600e 1.59 3.6 3.7 3.8 ns, max xcv2000e 1.59 3.6 3.7 3.8 ns, max xcv2600e 1.59 3.6 3.7 3.8 ns, max xcv3200e 1.59 3.6 3.7 3.8 ns, max
virtex ? -e 1.8 v field programmable gate arrays r ds022-3 (v2.9.2) march 14, 2003 www.xilinx.com module 3 of 4 production product specification 1-800-255-7778 7 sequential delays clock clk minimum pulse width, high t ch all 0.56 1.2 1.3 1.4 ns, min minimum pulse width, low t cl 0.56 1.2 1.3 1.4 ns, min clock clk to output iq t iockiq 0.18 0.4 0.7 0.7 ns, max setup and hold times with respect to clock at iob input register pad, no delay t iopick / t ioickp all 0.69 / 0 1.3 / 0 1.4 / 0 1.5 / 0 ns, min pad, with delay t iopickd / t ioickpd XCV50E 1.25 / 0 2.8 / 0 2.9 / 0 2.9 / 0 ns, min xcv100e 1.25 / 0 2.8 / 0 2.9 / 0 2.9 / 0 ns, min xcv200e 1.33 / 0 3.0 / 0 3.1 / 0 3.1 / 0 ns, min xcv300e 1.33 / 0 3.0 / 0 3.1 / 0 3.1 / 0 ns, min xcv400e 1.37 / 0 3.1 / 0 3.2 / 0 3.2 / 0 ns, min xcv600e 1.49 / 0 3.4 / 0 3.5 / 0 3.5 / 0 ns, min xcv1000e 1.49 / 0 3.4 / 0 3.5 / 0 3.5 / 0 ns, min xcv1600e 1.53 / 0 3.5 / 0 3.6 / 0 3.6 / 0 ns, min xcv2000e 1.53 / 0 3.5 / 0 3.6 / 0 3.6 / 0 ns, min xcv2600e 1.53 / 0 3.5 / 0 3.6 / 0 3.6 / 0 ns, min xcv3200e 1.53 / 0 3.5 / 0 3.6 / 0 3.6 / 0 ns, min ice input t ioiceck / t iockice all 0.28 / 0.0 0.55 / 0.01 0.7 / 0.01 0.7 / 0.01 ns, min sr input (iff, synchronous) t iosrcki all 0.38 0.8 0.9 1.0 ns, min set/reset delays sr input to iq (asynchronous) t iosriq all 0.54 1.1 1.2 1.4 ns, max gsr to output iq t gsrq all 3.88 7.6 8.5 9.7 ns, max notes: 1. a zero ? 0 ? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ? best-case ? , but if a ? 0 ? is listed, there is no positive hold time. 2. input timing i for lvttl is measured at 1.4 v. for other i/o standards, see table 4 . table 2: iob input switching characteristics (continued) speed grade (1) units description (2) symbol device min -8 -7 -6
virtex ? -e 1.8 v field programmable gate arrays r module 3 of 4 www.xilinx.com ds022-3 (v2.9.2) march 14, 2003 8 1-800-255-7778 production product specification iob input switching characteristics standard adjustments speed grade (1) units description symbol standard min -8 -7 -6 data input delay adjustments standard-specific data input delay adjustments t ilvttl lvttl 0.0 0.0 0.0 0.0 ns t ilvcmos2 lvc m o s2 ? 0.02 0.0 0.0 0.0 ns t ilvcmos18 lvcmos18 0.12 +0.20 +0.20 +0.20 ns t ilvds lvds 0.00 +0.15 +0.15 +0.15 ns t ilvpecl lvpecl 0.00 +0.15 +0.15 +0.15 ns t ipci33_3 pci, 33 mhz, 3.3 v ? 0.05 +0.08 +0.08 +0.08 ns t ipci66_3 pci, 66 mhz, 3.3 v ? 0.05 ? 0.11 ? 0.11 ? 0.11 ns t igtl gtl +0.10 +0.14 +0.14 +0.14 ns t igtlplus gtl+ +0.06 +0.14 +0.14 +0.14 ns t ihstl hstl +0.02 +0.04 +0.04 +0.04 ns t isstl2 sstl2 ? 0.04 +0.04 +0.04 +0.04 ns t isstl3 sstl3 ? 0.02 +0.04 +0.04 +0.04 ns t ictt ctt +0.01 +0.10 +0.10 +0.10 ns t iagp agp ? 0.03 +0.04 +0.04 +0.04 ns notes: 1. input timing i for lvttl is measured at 1.4 v. for other i/o standards, see table 4 . figure 1: virtex-e input/output block (iob) obuft ibuf vref ds022_02_091300 sr clk ice oce o i iq t t ce d ce q sr d ce q sr d ce q sr pad programmable delay weak keeper
virtex ? -e 1.8 v field programmable gate arrays r ds022-3 (v2.9.2) march 14, 2003 www.xilinx.com module 3 of 4 production product specification 1-800-255-7778 9 iob output switching characteristics, figure 1 output delays terminating at a pad are specified for lvttl with 12 ma drive and fast slew rate. for other standards, adjust the delays with the values shown in iob output switching characteristics standard adjustments , page 10 . speed grade (1) units description (2) symbol min -8 -7 -6 propagation delays o input to pad t ioop 1.04 2.5 2.7 2.9 ns, max o input to pad via transparent latch t ioolp 1.24 2.9 3.1 3.4 ns, max 3-state delays t input to pad high-impedance (note 2) t iothz 0.73 1.5 1.7 1.9 ns, max t input to valid data on pad t ioton 1.13 2.7 2.9 3.1 ns, max t input to pad high-impedance via transparent latch (note 2) t iotlphz 0.86 1.8 2.0 2.2 ns, max t input to valid data on pad via transparent latch t iotlpon 1.26 3.0 3.2 3.4 ns, max gts to pad high impedance (note 2) t gts 1.94 4.1 4.6 4.9 ns, max sequential delays clock clk minimum pulse width, high t ch 0.56 1.2 1.3 1.4 ns, min minimum pulse width, low t cl 0.56 1.2 1.3 1.4 ns, min clock clk to pad t iockp 0.97 2.4 2.8 2.9 ns, max clock clk to pad high-impedance (synchronous) (note 2) t iockhz 0.77 1.6 2.0 2.2 ns, max clock clk to valid data on pad (synchronous) t iockon 1.17 2.8 3.2 3.4 ns, max setup and hold times before/after clock clk o input t ioock / t iocko 0.43 / 0 0.9 / 0 1.0 / 0 1.1 / 0 ns, min oce input t iooceck / t iockoce 0.28 / 0 0.55 / 0.01 0.7 / 0 0.7 / 0 ns, min sr input (off) t iosrcko / t iockosr 0.40 / 0 0.8 / 0 0.9 / 0 1.0 / 0 ns, min 3-state setup times, t input t iotck / t iockt 0.26 / 0 0.51 / 0 0.6 / 0 0.7 / 0 ns, min 3-state setup times, tce input t iotceck / t iocktce 0.30 / 0 0.6 / 0 0.7 / 0 0.8 / 0 ns, min 3-state setup times, sr input (tff) t iosrckt / t iocktsr 0.38 / 0 0.8 / 0 0.9 / 0 1.0 / 0 ns, min set/reset delays sr input to pad (asynchronous) t iosrp 1.30 3.1 3.3 3.5 ns, max sr input to pad high-impedance (asynchronous) (note 2) t iosrhz 1.08 2.2 2.4 2.7 ns, max sr input to valid data on pad (asynchronous) t iosron 1.48 3.4 3.7 3.9 ns, max gsr to pad t iogsrq 3.88 7.6 8.5 9.7 ns, max notes: 1. a zero ? 0 ? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ? best-case ? , but if a ? 0 ? is listed, there is no positive hold time. 2. 3-state turn-off delays should not be adjusted.
virtex ? -e 1.8 v field programmable gate arrays r module 3 of 4 www.xilinx.com ds022-3 (v2.9.2) march 14, 2003 10 1-800-255-7778 production product specification iob output switching characteristics standard adjustments output delays terminating at a pad are specified for lvttl with 12 ma drive and fast slew rate. for other standards, adjust the delays by the values shown. speed grade units description symbol standard min -8 -7 -6 output delay adjustments standard-specific adjustments for output delays terminating at pads (based on standard capacitive load, csl) t olvttl_s2 lvttl, slow, 2 ma 4.2 +14.7 +14.7 +14.7 ns t olvttl_s4 4 ma 2.5 +7.5 +7.5 +7.5 ns t olvttl_s6 6 ma 1.8 +4.8 +4.8 +4.8 ns t olvttl_s8 8 ma 1.2 +3.0 +3.0 +3.0 ns t olvttl_s12 12 ma 1.0 +1.9 +1.9 +1.9 ns t olvttl_s16 16 ma 0.9 +1.7 +1.7 +1.7 ns t olvttl_s24 24 ma 0.8 +1.3 +1.3 +1.3 ns t olvttl_f2 lvttl, fast, 2 ma 1.9 +13.1 +13.1 +13.1 ns t olvttl_f4 4 ma 0.7 +5.3 +5.3 +5.3 ns t olvttl_f6 6 ma 0.20 +3.1 +3.1 +3.1 ns t olvttl_f8 8 ma 0.10 +1.0 +1.0 +1.0 ns t olvttl_f12 12 ma 0.0 0.0 0.0 0.0 ns t olvttl_f16 16 ma ? 0.10 ? 0.05 ? 0.05 ? 0.05 ns t olvttl_f24 24 ma ? 0.10 ? 0.20 ? 0.20 ? 0.20 ns t olvcmos_2 lvcmos2 0.10 +0.09 +0.09 +0.09 ns t olvcmos_18 lvcmos18 0.10 +0.7 +0.7 +0.7 ns t olvds lv d s ? 0.39 ? 1.2 ? 1.2 ? 1.2 ns t olvpecl lvpecl ? 0.20 ? 0.41 ? 0.41 ? 0.41 ns t opci33_3 pci, 33 mhz, 3.3 v 0.50 +2.3 +2.3 +2.3 ns t opci66_3 pci, 66 mhz, 3.3 v 0.10 ? 0.41 ? 0.41 ? 0.41 ns t ogtl gtl 0.6 +0.49 +0.49 +0.49 ns t ogtlp gtl+ 0.7 +0.8 +0.8 +0.8 ns t ohstl_i hstl i 0.10 ? 0.51 ? 0.51 ? 0.51 ns t ohstl_iii hstl iii ? 0.10 ? 0.91 ? 0.91 ? 0.91 ns t ohstl_iv hstl iv ? 0.20 ? 1.01 ? 1.01 ? 1.01 ns t osstl2_i sstl2 i ? 0.10 ? 0.51 ? 0.51 ? 0.51 ns t osstl2_ii sstl2 ii ? 0.20 ? 0.91 ? 0.91 ? 0.91 ns t osstl3_i sstl3 i ? 0.20 ? 0.51 ? 0.51 ? 0.51 ns t osstl3_ii sstl3 ii ? 0.30 ? 1.01 ? 1.01 ? 1.01 ns t octt ctt 0.0 ? 0.61 ? 0.61 ? 0.61 ns t oagp agp ? 0.1 ? 0.91 ? 0.91 ? 0.91 ns
virtex ? -e 1.8 v field programmable gate arrays r ds022-3 (v2.9.2) march 14, 2003 www.xilinx.com module 3 of 4 production product specification 1-800-255-7778 11 calculation of t ioop as a function of capacitance t ioop is the propagation delay from the o input of the iob to the pad. the values for t ioop are based on the standard capacitive load (c sl ) for each i/o standard as listed in table 3 . for other capacitive loads, use the formulas below to calcu- late the corresponding t ioop : t ioop = t ioop + t opadjust + (c load ? c sl ) * fl where: t opadjust is reported above in the output delay adjustment section. c load is the capacitive load for the design. table 3: constants for use in calculation of t ioop standard csl (pf) fl (ns/pf) lvttl fast slew rate, 2ma drive 35 0.41 lvttl fast slew rate, 4ma drive 35 0.20 lvttl fast slew rate, 6ma drive 35 0.13 lvttl fast slew rate, 8ma drive 35 0.079 lvttl fast slew rate, 12ma drive 35 0.044 lvttl fast slew rate, 16ma drive 35 0.043 lvttl fast slew rate, 24ma drive 35 0.033 lvttl slow slew rate, 2ma drive 35 0.41 lvttl slow slew rate, 4ma drive 35 0.20 lvttl slow slew rate, 6ma drive 35 0.10 lvttl slow slew rate, 8ma drive 35 0.086 lvttl slow slew rate, 12ma drive 35 0.058 lvttl slow slew rate, 16ma drive 35 0.050 lvttl slow slew rate, 24ma drive 35 0.048 lvcmos2 35 0.041 lvcmos18 35 0.050 pci 33 mhz 3.3 v 10 0.050 pci 66 mhz 3.3 v 10 0.033 gtl 0 0.014 gtl+ 0 0.017 hstl class i 20 0.022 hstl class iii 20 0.016 hstl class iv 20 0.014 sstl2 class i 30 0.028 sstl2 class ii 30 0.016 sstl3 class i 30 0.029 sstl3 class ii 30 0.016 ctt 20 0.035 agp 10 0.037 notes: 1. i/o parameter measurements are made with the capacitance values shown above. see the application examples (in module 2 of this data sheet) for appropriate terminations. 2. i/o standard measurements are reflected in the ibis model information except where the ibis format precludes it. ta ble 4 : delay measurement methodology standard v l 1 v h 1 meas. point v ref (typ) 2 lvttl 0 3 1.4 - lvcmos2 0 2.5 1.125 - pci33_3 per pci spec - pci66_3 per pci spec - gtl v ref ? 0.2 v ref +0.2 v ref 0.80 gtl+ v ref ? 0.2 v ref +0.2 v ref 1.0 hstl class i v ref ? 0.5 v ref +0.5 v ref 0.75 hstl class iii v ref ? 0.5 v ref +0.5 v ref 0.90 hstl class iv v ref ? 0.5 v ref +0.5 v ref 0.90 sstl3 i & ii v ref ? 1.0 v ref +1.0 v ref 1.5 sstl2 i & ii v ref ? 0.75 v ref +0.75 v ref 1.25 ctt v ref ? 0.2 v ref +0.2 v ref 1.5 agp v ref ? (0.2xv cco ) v ref + (0.2xv cco ) v ref per agp spec lvds 1.2 ? 0.125 1.2 + 0.125 1.2 lvpecl 1.6 ? 0.3 1.6 + 0.3 1.6 notes: 1. input waveform switches between v l and v h . 2. measurements are made at v ref (typ), maximum, and minimum. worst-case values are reported. i/o parameter measurements are made with the capacitance values shown in table 3 . see the application examples (in module 2 of this data sheet) for appropriate terminations. i/o standard measurements are reflected in the ibis model information except where the ibis format precludes it.
virtex ? -e 1.8 v field programmable gate arrays r module 3 of 4 www.xilinx.com ds022-3 (v2.9.2) march 14, 2003 12 1-800-255-7778 production product specification clock distribution switching characteristics i/o standard global clock input adjustments speed grade units description symbol min -8 -7 -6 gclk iob and buffer global clock pad to output. t gpio 0.38 0.7 0.7 0.7 ns, max global clock buffer i input to o output t gio 0.11 0.20 0.45 0.50 ns, max description symbol (1) standard speed grade units min-8-7-6 data input delay adjustments standard-specific global clock input delay adjustments t gplvttl lvttl 0.0 0.0 0.0 0.0 ns, max t gplvcmos2 lv c m os 2 ? 0.02 0.0 0.0 0.0 ns, max t gplvcmos18 lvcmos18 0.12 0.20 0.20 0.20 ns, max t glvds lvds 0.23 0.38 0.38 0.38 ns, max t glvpecl lvpecl 0.23 0.38 0.38 0.38 ns, max t gppci33_3 pci, 33 mhz, 3.3 v ? 0.05 0.08 0.08 0.08 ns, max t gppci66_3 pci, 66 mhz, 3.3 v ? 0.05 ? 0.11 ? 0.11 ? 0.11 ns, max t gpgtl gtl 0.20 0.37 0.37 0.37 ns, max t gpgtlp gtl+ 0.20 0.37 0.37 0.37 ns, max t gphstl hstl 0.18 0.27 0.27 0.27 ns, max t gpsstl2 sstl2 0.21 0.27 0.27 0.27 ns, max t gpsstl3 sstl3 0.18 0.27 0.27 0.27 ns, max t gpctt ctt 0.22 0.33 0.33 0.33 ns, max t gpagp agp 0.21 0.27 0.27 0.27 ns, max notes: 1. input timing for gplvttl is measured at 1.4 v. for other i/o standards, see ta b l e 4 .
virtex ? -e 1.8 v field programmable gate arrays r ds022-3 (v2.9.2) march 14, 2003 www.xilinx.com module 3 of 4 production product specification 1-800-255-7778 13 clb switching characteristics delays originating at f/g inputs vary slightly according to the input used, see figure 2 . the values listed below are worst-case. precise values are provided by the timing analyzer. speed grade (1) units description symbol min -8 -7 -6 combinatorial delays 4-input function: f/g inputs to x/y outputs t ilo 0.19 0.40 0.42 0.47 ns, max 5-input function: f/g inputs to f5 output t if5 0.36 0.76 0.8 0.9 ns, max 5-input function: f/g inputs to x output t if5x 0.35 0.74 0.8 0.9 ns, max 6-input function: f/g inputs to y output via f6 mux t if6y 0.35 0.74 0.9 1.0 ns, max 6-input function: f5in input to y output t f5iny 0.04 0.11 0.20 0.22 ns, max incremental delay routing through transparent latch to xq/yq outputs t ifnctl 0.27 0.63 0.7 0.8 ns, max by input to yb output t byyb 0.19 0.38 0.46 0.51 ns, max sequential delays ff clock clk to xq/yq outputs t cko 0.34 0.78 0.9 1.0 ns, max latch clock clk to xq/yq outputs t cklo 0.40 0.77 0.9 1.0 ns, max setup and hold times before/after clock clk 4-input function: f/g inputs t ick / t cki 0.39 / 0 0.9 / 0 1.0 / 0 1.1 / 0 ns, min 5-input function: f/g inputs t if5ck / t ckif5 0.55 / 0 1.3 / 0 1.4 / 0 1.5 / 0 ns, min 6-input function: f5in input t f5inck / t ckf5in 0.27 / 0 0.6 / 0 0.8 / 0 0.8 / 0 ns, min 6-input function: f/g inputs via f6 mux t if6ck / t ckif6 0.58 / 0 1.3 / 0 1.5 / 0 1.6 / 0 ns, min bx/by inputs t dick / t ckdi 0.25 / 0 0.6 / 0 0.7 / 0 0.8 / 0 ns, min ce input t ceck / t ckce 0.28 / 0 0.55 / 0 0.7 / 0 0.7 / 0 ns, min sr/by inputs (synchronous) t rck / t ckr 0.24 / 0 0.46 / 0 0.52 / 0 0.6 / 0 ns, min clock clk minimum pulse width, high t ch 0.56 1.2 1.3 1.4 ns, min minimum pulse width, low t cl 0.56 1.2 1.3 1.4 ns, min set/reset minimum pulse width, sr/by inputs t rpw 0.94 1.9 2.1 2.4 ns, min delay from sr/by inputs to xq/yq outputs (asynchronous) t rq 0.39 0.8 0.9 1.0 ns, max toggle frequency (mhz) (for export control) f tog - 416 400 357 mhz notes: 1. a zero ? 0 ? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ? best-case ? , but if a ? 0 ? is listed, there is no positive hold time.
virtex ? -e 1.8 v field programmable gate arrays r module 3 of 4 www.xilinx.com ds022-3 (v2.9.2) march 14, 2003 14 1-800-255-7778 production product specification figure 2: detailed view of virtex-e slice by f5in sr clk ce bx yb y yq xb x xq g4 g3 g2 g1 f4 f3 f2 f1 cin 0 1 1 0 f5 f5 ds022_05_092000 cout cy d ce q d ce q f6 ck wso wsh we a4 by dg bx di di o we i3 i2 i1 i0 lut cy i3 i2 i1 i0 o di we lut init init rev rev
virtex ? -e 1.8 v field programmable gate arrays r ds022-3 (v2.9.2) march 14, 2003 www.xilinx.com module 3 of 4 production product specification 1-800-255-7778 15 clb arithmetic switching characteristics setup times not listed explicitly can be approximated by decreasing the combinatorial delays by the setup time adjustment listed. precise values are provided by the timing analyzer. speed grade (1) units description symbol min -8 -7 -6 combinatorial delays f operand inputs to x via xor t opx 0.32 0.68 0.8 0.8 ns, max f operand input to xb output t opxb 0.35 0.65 0.8 0.9 ns, max f operand input to y via xor t opy 0.59 1.07 1.4 1.5 ns, max f operand input to yb output t opyb 0.48 0.89 1.1 1.3 ns, max f operand input to cout output t opcyf 0.37 0.71 0.9 1.0 ns, max g operand inputs to y via xor t opgy 0.34 0.72 0.8 0.9 ns, max g operand input to yb output t opgyb 0.47 0.78 1.2 1.3 ns, max g operand input to cout output t opcyg 0.36 0.60 0.9 1.0 ns, max bx initialization input to cout t bxcy 0.19 0.36 0.51 0.57 ns, max cin input to x output via xor t cinx 0.27 0.50 0.6 0.7 ns, max cin input to xb t cinxb 0.02 0.04 0.07 0.08 ns, max cin input to y via xor t ciny 0.26 0.45 0.7 0.7 ns, max cin input to yb t cinyb 0.16 0.28 0.38 0.43 ns, max cin input to cout output t byp 0.05 0.10 0.14 0.15 ns, max multiplier operation f1/2 operand inputs to xb output via and t fandxb 0.10 0.30 0.35 0.39 ns, max f1/2 operand inputs to yb output via and t fandyb 0.28 0.56 0.7 0.8 ns, max f1/2 operand inputs to cout output via and t fandcy 0.17 0.38 0.46 0.51 ns, max g1/2 operand inputs to yb output via and t gandyb 0.20 0.46 0.55 0.7 ns, max g1/2 operand inputs to cout output via and t gandcy 0.09 0.28 0.30 0.34 ns, max setup and hold times before/after clock clk cin input to ffx t cckx /t ckcx 0.47 / 0 1.0 / 0 1.2 / 0 1.3 / 0 ns, min cin input to ffy t ccky /t ckcy 0.49 / 0 0.92 / 0 1.2 / 0 1.3 / 0 ns, min notes: 1. a zero ? 0 ? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ? best-case ? , but if a ? 0 ? is listed, there is no positive hold time.
virtex ? -e 1.8 v field programmable gate arrays r module 3 of 4 www.xilinx.com ds022-3 (v2.9.2) march 14, 2003 16 1-800-255-7778 production product specification clb distributed ram switching characteristics speed grade (1) units description symbol min -8 -7 -6 sequential delays clock clk to x/y outputs (we active) 16 x 1 mode t shcko16 0.67 1.38 1.5 1.7 ns, max clock clk to x/y outputs (we active) 32 x 1 mode t shcko32 0.84 1.66 1.9 2.1 ns, max shift-register mode clock clk to x/y outputs t reg 1.25 2.39 2.9 3.2 ns, max setup and hold times before/after clock clk f/g address inputs t as /t ah 0.19 / 0 0.38 / 0 0.42 / 0 0.47 / 0 ns, min bx/by data inputs (din) t ds /t dh 0.44 / 0 0.87 / 0 0.97 / 0 1.09 / 0 ns, min sr input (we) t ws /t wh 0.29 / 0 0.57 / 0 0.7 / 0 0.8 / 0 ns, min clock clk minimum pulse width, high t wph 0.96 1.9 2.1 2.4 ns, min minimum pulse width, low t wpl 0.96 1.9 2.1 2.4 ns, min minimum clock period to meet address write cycle time t wc 1.92 3.8 4.2 4.8 ns, min shift-register mode minimum pulse width, high t srph 1.0 1.9 2.1 2.4 ns, min minimum pulse width, low t srpl 1.0 1.9 2.1 2.4 ns, min notes: 1. a zero ? 0 ? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ? best-case ? , but if a ? 0 ? is listed, there is no positive hold time. figure 3: dual-port block selectram web enb rstb clkb addrb[#:0] dib[#:0] wea ena rsta clka addra[#:0] dia[#:0] doa[#:0] dob[#:0] ramb4_s#_s# ds022_06_121699
virtex ? -e 1.8 v field programmable gate arrays r ds022-3 (v2.9.2) march 14, 2003 www.xilinx.com module 3 of 4 production product specification 1-800-255-7778 17 block ram switching characteristics tbuf switching characteristics jtag test access port switching characteristics speed grade (1) units description symbol min -8 -7 -6 sequential delays clock clk to dout output t bcko 0.63 2.46 3.1 3.5 ns, max setup and hold times before clock clk addr inputs t back /t bcka 0.42 / 0 0.9 / 0 1.0 / 0 1.1 / 0 ns, min din inputs t bdck /t bckd 0.42 / 0 0.9 / 0 1.0 / 0 1.1 / 0 ns, min en input t beck /t bcke 0.97 / 0 2.0 / 0 2.2 / 0 2.5 / 0 ns, min rst input t brck /t bckr 0.9 / 0 1.8 / 0 2.1 / 0 2.3 / 0 ns, min wen input t bwck /t bckw 0.86 / 0 1.7 / 0 2.0 / 0 2.2 / 0 ns, min clock clk minimum pulse width, high t bpwh 0.6 1.2 1.35 1.5 ns, min minimum pulse width, low t bpwl 0.6 1.2 1.35 1.5 ns, min clka -> clkb setup time for different ports t bccs 1.2 2.4 2.7 3.0 ns, min notes: 1. a zero ? 0 ? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ? best-case ? , but if a ? 0 ? is listed, there is no positive hold time. speed grade units description symbol min -8 -7 -6 combinatorial delays in input to out output t io 0.0 0.0 0.0 0 .0 ns, max tri input to out output high-impedance t off 0.05 0.092 0.10 0.11 ns, max tri input to valid data on out output t on 0.05 0.092 0.10 0.11 ns, max description symbol value units tms and tdi setup times before tck t ta p t k 4.0 ns, min tms and tdi hold times after tck t tcktap 2.0 ns, min output delay from clock tck to output tdo t tcktdo 11.0 ns, max maximum tck clock frequency f tck 33 mhz, max
virtex ? -e 1.8 v field programmable gate arrays r module 3 of 4 www.xilinx.com ds022-3 (v2.9.2) march 14, 2003 18 1-800-255-7778 production product specification virtex-e pin-to-pin output parameter guidelines all devices are 100% functionally tested. listed below are representative values for typical pin locations and normal clock loading. values are expressed in nanoseconds unless otherwise noted. global clock input to output delay for lvttl, 12 ma, fast slew rate, with dll description (1) symbol device speed grade (2, 3) units min-8-7-6 lvttl global clock input to output delay using output flip-flop, 12 ma, fast slew rate, with dll. for data output with different standards, adjust the delays with the values shown in iob output switching characteristics standard adjustments , page 10 . t ickofdll XCV50E 1.0 3.1 3.1 3.1 ns xcv100e 1.0 3.1 3.1 3.1 ns xcv200e 1.0 3.1 3.1 3.1 ns xcv300e 1.0 3.1 3.1 3.1 ns xcv400e 1.0 3.1 3.1 3.1 ns xcv600e 1.0 3.1 3.1 3.1 ns xcv1000e 1.0 3.1 3.1 3.1 ns xcv1600e 1.0 3.1 3.1 3.1 ns xcv2000e 1.0 3.1 3.1 3.1 ns xcv2600e 1.0 3.1 3.1 3.1 ns xcv3200e 1.0 3.1 3.1 3.1 ns notes: 1. listed above are representative values where one global clock input drives one vertical clock line in each accessible column, and where all accessible iob and clb flip-flops are clocked by the global clock net. 2. output timing is measured at 50% v cc threshold with 35 pf external capacitive load. for other i/o standards and different loads, see ta b l e 3 and ta b l e 4 . 3. dll output jitter is already included in the timing calculation.
virtex ? -e 1.8 v field programmable gate arrays r ds022-3 (v2.9.2) march 14, 2003 www.xilinx.com module 3 of 4 production product specification 1-800-255-7778 19 global clock input to output delay for lvttl, 12 ma, fast slew rate, without dll description (1) symbol device speed grade (2) units min -8 -7 -6 lvttl global clock input to output delay using output flip-flop, 12 ma, fast slew rate, without dll. for data output with different standards, adjust the delays with the values shown in iob output switching characteristics standard adjustments , page 10 . t ickof XCV50E 1.5 4.2 4.4 4.6 ns xcv100e 1.5 4.2 4.4 4.6 ns xcv200e 1.5 4.3 4.5 4.7 ns xcv300e 1.5 4.3 4.5 4.7 ns xcv400e 1.5 4.4 4.6 4.8 ns xcv600e 1.6 4.5 4.7 4.9 ns xcv1000e 1.7 4.6 4.8 5.0 ns xcv1600e 1.8 4.7 4.9 5.1 ns xcv2000e 1.8 4.8 5.0 5.2 ns xcv2600e 2.0 5.0 5.2 5.4 ns xcv3200e 2.2 5.2 5.4 5.6 ns notes: 1. listed above are representative values where one global clock input drives one vertical clock line in each accessible column, and where all accessible iob and clb flip-flops are clocked by the global clock net. 2. output timing is measured at 50% v cc threshold with 35 pf external capacitive load. for other i/o standards and different loads, see ta b l e 3 and ta b l e 4 .
virtex ? -e 1.8 v field programmable gate arrays r module 3 of 4 www.xilinx.com ds022-3 (v2.9.2) march 14, 2003 20 1-800-255-7778 production product specification virtex-e pin-to-pin input parameter guidelines all devices are 100% functionally tested. listed below are representative values for typical pin locations and normal clock loading. values are expressed in nanoseconds unless otherwise noted global clock set-up and hold for lvttl standard, with dll description (1) symbol device speed grade (2, 3) units min -8 -7 -6 input setup and hold time relative to global clock input signal for lvttl standard. for data input with different standards, adjust the setup time delay by the values shown in iob input switching characteristics standard adjustments , page 8 . no delay t psdll /t phdll XCV50E 1.5 / ? 0.4 1.5 / ? 0.4 1.6 / ? 0.4 1.7 / ? 0.4 ns global clock and iff, with dll xcv100e 1.5 / ? 0.4 1.5 / ? 0.4 1.6 / ? 0.4 1.7 / ? 0.4 ns xcv200e 1.5 / ? 0.4 1.5 / ? 0.4 1.6 / ? 0.4 1.7 / ? 0.4 ns xcv300e 1.5 / ? 0.4 1.5 / ? 0.4 1.6 / ? 0.4 1.7 / ? 0.4 ns xcv400e 1.5 / ? 0.4 1.5 / ? 0.4 1.6 / ? 0.4 1.7 / ? 0.4 ns xcv600e 1.5 / ? 0.4 1.5 / ? 0.4 1.6 / ? 0.4 1.7 / ? 0.4 ns xcv1000e 1.5 / ? 0.4 1.5 / ? 0.4 1.6 / ? 0.4 1.7 / ? 0.4 ns xcv1600e 1.5 / ? 0.4 1.5 / ? 0.4 1.6 / ? 0.4 1.7 / ? 0.4 ns xcv2000e 1.5 / ? 0.4 1.5 / ? 0.4 1.6 / ? 0.4 1.7 / ? 0.4 ns xcv2600e 1.5 / ? 0.4 1.5 / ? 0.4 1.6 / ? 0.4 1.7 / ? 0.4 ns xcv3200e 1.5 / ? 0.4 1.5 / ? 0.4 1.6 / ? 0.4 1.7 / ? 0.4 ns notes: 1. iff = input flip-flop or latch 2. setup time is measured relative to the global clock input signal with the fastest route and the lightest load. hold time is m easured relative to the global clock input signal with the slowest route and heaviest load. 3. dll output jitter is already included in the timing calculation.
virtex ? -e 1.8 v field programmable gate arrays r ds022-3 (v2.9.2) march 14, 2003 www.xilinx.com module 3 of 4 production product specification 1-800-255-7778 21 global clock set-up and hold for lvttl standard, without dll description (1) symbol device speed grade (2, 3) units min-8-7-6 input setup and hold time relative to global clock input signal for lvttl standard. for data input with different standards, adjust the setup time delay by the values shown in iob input switching characteristics standard adjustments , page 8 . full delay t psfd /t phfd XCV50E 1.8 / 0 1.8 / 0 1.8 / 0 1.8 / 0 ns global clock and iff, without dll xcv100e 1.8 / 0 1.8 / 0 1.8 / 0 1.8 / 0 ns xcv200e 1.9 / 0 1.9 / 0 1.9 / 0 1.9 / 0 ns xcv300e 2.0 / 0 2.0 / 0 2.0 / 0 2.0 / 0 ns xcv400e 2.0 / 0 2.0 / 0 2.0 / 0 2.0 / 0 ns xcv600e 2.1 / 0 2.1 / 0 2.1 / 0 2.1 / 0 ns xcv1000e 2.3 / 0 2.3 / 0 2.3 / 0 2.3 / 0 ns xcv1600e 2.5 / 0 2.5 / 0 2.5 / 0 2.5 / 0 ns xcv2000e 2.5 / 0 2.5 / 0 2.5 / 0 2.5 / 0 ns xcv2600e 2.7 / 0 2.7 / 0 2.7 / 0 2.7 / 0 ns xcv3200e 2.8 / 0 2.8 / 0 2.8 / 0 2.8 / 0 ns notes: 1. iff = input flip-flop or latch 2. setup time is measured relative to the global clock input signal with the fastest route and the lightest load. hold time is m easured relative to the global clock input signal with the slowest route and heaviest load. 3. a zero ? 0 ? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ? best-case ? , but if a ? 0 ? is listed, there is no positive hold time.
virtex ? -e 1.8 v field programmable gate arrays r module 3 of 4 www.xilinx.com ds022-3 (v2.9.2) march 14, 2003 22 1-800-255-7778 production product specification dll timing parameters all devices are 100 percent functionally tested. because of the difficulty in directly measuring many internal timing parameters, those parameters are derived from benchmark timing patterns. the following guidelines reflect worst-case values across the recommended operating conditions. description symbol f clkin speed grade units -8 -7 -6 min max min max min max input clock frequency (clkdllhf) fclkinhf 60 350 60 320 60 275 mhz input clock frequency (clkdll) fclkinlf 25 160 25 160 25 135 mhz input clock low/high pulse width t dllpw 2 5 mhz 5.0 5.0 5.0 ns 50 mhz 3.0 3.0 3.0 ns 100 mhz 2.4 2.4 2.4 ns 150 mhz 2.0 2.0 2.0 ns 200 mhz 1.8 1.8 1.8 ns 250 mhz 1.5 1.5 1.5 ns 300 mhz 1.3 1.3 na ns figure 4: dll timing waveforms t clkin t clkin + t iptol period tolerance: the allowed input clock period change in nanoseconds. output jitter: the difference between an ideal reference clock edge and the actual design. _ ds022_24_091200 i deal period actual period + jitter +/- jitter + maximum phase difference phase offset and maximum phase difference + phase offset
virtex ? -e 1.8 v field programmable gate arrays r ds022-3 (v2.9.2) march 14, 2003 www.xilinx.com module 3 of 4 production product specification 1-800-255-7778 23 dll clock tolerance, jitter, and phase information all dll output jitter and phase specifications determined through statistical measurement at the package pins using a clock mirror configuration and matched drivers. clkdllhf clkdll units description symbol f clkin min max min max input clock period tolerance t iptol - 1.0 - 1.0 ns input clock jitter tolerance (cycle to cycle) t ijitcc - 150 - 300 ps time required for dll to acquire lock (6) t lock > 60 mhz - 20 - 20 s 50 - 60 mhz - - - 25 s 40 - 50 mhz - - - 50 s 30 - 40 mhz - - - 90 s 25 - 30 mhz - - - 120 s output jitter (cycle-to-cycle) for any dll clock output (1) t ojitcc 60 60 ps phase offset between clkin and clko (2) t phio 100 100 ps phase offset between clock outputs on the dll (3) t phoo 140 140 ps maximum phase difference between clkin and clko (4) t phiom 160 160 ps maximum phase difference between clock outputs on the dll (5) t phoom 200 200 ps notes: 1. output jitter is cycle-to-cycle jitter measured on the dll output clock and is based on a maximum tap delay resolution, excluding input clock jitter. 2. phase offset between clkin and clko is the worst-case fixed time difference between rising edges of clkin and clko, excluding output jitter and input clock jitter. 3. phase offset between clock outputs on the dll is the worst-case fixed time difference between rising edges of any two dll outputs, excluding output jitter and input clock jitter. 4. maximum phase difference between clkin an clko is the sum of output jitter and phase offset between clkin and clko, or the greatest difference between clkin and clko rising edges due to dll alone ( excluding input clock jitter). 5. maximum phase difference between clock outputs on the dll is the sum of output jitter and phase offset between any dll clock outputs, or the greatest difference between any two dll output rising edges sue to dll alone ( excluding input clock jitter). 6. add 30% to the value for industrial grade parts.
virtex ? -e 1.8 v field programmable gate arrays r module 3 of 4 www.xilinx.com ds022-3 (v2.9.2) march 14, 2003 24 1-800-255-7778 production product specification revision history the following table shows the revision history for this document. date version revision 12/7/99 1.0 initial xilinx release. 1/10/00 1.1 re-released with spd.txt v. 1.18, fg860/900/1156 package information, and additional dll, select ram and selecti/o information. 1/28/00 1.2 added delay measurement methodology table, updated selecti/o section, figures 30, 54, & 55, text explaining table 5, t byp values, buffered hex line info, p. 8, i/o timing measurement notes, notes for tables 15, 16, and corrected f1156 pinout table footnote references. 2/29/00 1.3 updated pinout tables, v cc page 20, and corrected figure 20. 5/23/00 1.4 correction to table on p. 22. 7/10/00 1.5  numerous minor edits.  data sheet upgraded to preliminary.  preview -8 numbers added to virtex-e electrical characteristics tables. 8/1/00 1.6  reformatted entire document to follow new style guidelines.  changed speed grade values in tables on pages 35-37. 9/20/00 1.7  min values added to virtex-e electrical characteristics tables.  xcv2600e and xcv3200e numbers added to virtex-e electrical characteristics tables (module 3).  corrected user i/o count for xcv100e device in table 1 (module 1).  changed several pins to ? no connect in the xcv100e ? and removed duplicate v ccint pins in table ~ (module 4).  changed pin j10 to ? no connect in xcv600e ? in table 74 (module 4).  changed pin j30 to ? vref option only in the xcv600e ? in table 74 (module 4).  corrected pair 18 in table 75 (module 4) to be ? ao in the xcv1000e, xcv1600e ? . 11/20/00 1.8  upgraded speed grade -8 numbers in virtex-e electrical characteristics tables to preliminary.  updated minimums in table 13 and added notes to table 14.  added to note 2 to absolute maximum ratings .  changed speed grade -8 numbers for t shcko32 , t reg , t bccs , and t ickof .  changed all minimum hold times to ? 0.4 under global clock set-up and hold for lvttl standard, with dll .  revised maximum t dllpw in -6 speed grade for dll timing parameters .  changed gclk0 to ba22 for fg860 package in table 46. 2/12/01 1.9  revised footnote for table 14.  added numbers to virtex-e electrical characteristics tables for xcv1000e and xcv2000e devices.  updated table 27 and table 78 to include values for xcv400e and xcv600e devices.  revised table 62 to include pinout information for the xcv400e and xcv600e devices in the bg560 package.  updated footnotes 1 and 2 for table 76 to include xcv2600e and xcv3200e devices. 4/02/01 2.0  updated numerous values in virtex-e switching characteristics tables.  converted data sheet to modularized format. see the virtex-e data sheet section. 4/19/01 2.1  updated values in virtex-e switching characteristics tables.
virtex ? -e 1.8 v field programmable gate arrays r ds022-3 (v2.9.2) march 14, 2003 www.xilinx.com module 3 of 4 production product specification 1-800-255-7778 25 virtex-e data sheet the virtex-e data sheet contains the following modules:  ds022-1, virtex-e 1.8v fpgas: introduction and ordering information (module 1)  ds022-2, virtex-e 1.8v fpgas: functional description (module 2)  ds022-3, virtex-e 1.8v fpgas: dc and switching characteristics (module 3)  ds022-4, virtex-e 1.8v fpgas: pinout tables (module 4) 07/23/01 2.2  under absolute maximum ratings , changed (t sol ) to 220 c.  changes made to sstl symbol names in iob input switching characteristics standard adjustments table. 07/26/01 2.3  removed t sol parameter and added footnote to absolute maximum ratings table. 9/18/01 2.4  reworded power supplies footnote to absolute maximum ratings table. 10/25/01 2.5  updated the speed grade designations used in data sheets, and added table 1 , which shows the current speed grade designation for each device.  added xcv2600e and xcv3200e values to dc characteristics over recommended operating conditions and power-on power supply requirements tables. 11/09/01 2.6  updated the power-on power supply requirements table. 02/01/02 2.7  updated footnotes to the dc input and output levels and dll clock tolerance, jitter, and phase information tables. 07/17/02 2.8  data sheet designation upgraded from preliminary to production.  removed mention of mil-m-38510/605 specification.  added link to xapp158 from the power-on power supply requirements section. 09/10/02 2.9  revised v in in absolute maximum ratings table.  added clock clk switching characteristics to table 2, ? iob input switching characteristics, ? on page 6 and iob output switching characteristics, figure 1 . 12/22/02 2.9.1  added footnote regarding v in pci compliance to absolute maximum ratings table.  the fastest ramp rate is 0v to nominal voltage in 2 ms 03/14/03 2.9.2  under power-on power supply requirements , the fastest ramp rate is no longer a "suggested" rate. date version revision
virtex ? -e 1.8 v field programmable gate arrays r module 3 of 4 www.xilinx.com ds022-3 (v2.9.2) march 14, 2003 26 1-800-255-7778 production product specification
? 2000-2003 xilinx, inc. all rights reserved. all xilinx trademarks, registered trademarks, patents, and disclaimers are as lis ted at http://www.xilinx.com/legal.htm . all other trademarks and registered trademarks are the property of their respective owners. all specifications are subject to c hange without notice. ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 1 virtex-e pin definitions 0 virtex?-e 1.8 v field programmable gate arrays ds022-4 (v2.5) march 14, 2003 00 production product specification r pin name dedicated pin direction description gck0, gck1, gck2, gck3 yes input clock input pins that connect to global clock buffers. m0, m1, m2 yes input mode pins are used to specify the configuration mode. cclk yes input or output the configuration clock i/o pin: it is an input for selectmap and slave-serial modes, and output in master-serial mode. after configuration, it is input only, logic level = don?t care. program yes input initiates a configuration sequence when asserted low. done yes bidirectional indicates that configuration loading is complete, and that the start-up sequence is in progress. the output can be open drain. init no bidirectional (open-drain) when low, indicates that the configuration memory is being cleared. the pin becomes a user i/o after configuration. busy/dout no output in selectmap mode, busy controls the rate at which configuration data is loaded. the pin becomes a user i/o after configuration unless the selectmap port is retained. in bit-serial modes, dout provides preamble and configuration data to downstream devices in a daisy-chain. the pin becomes a user i/o after configuration. d0/din, d1, d2, d3, d4, d5, d6, d7 no input or output in selectmap mode, d0-7 are configuration data pins. these pins become user i/os after configuration unless the selectmap port is retained. in bit-serial modes, din is the single data input. this pin becomes a user i/o after configuration. write no input in selectmap mode, the active-low write enable signal. the pin becomes a user i/o after configuration unless the selectmap port is retained. cs no input in selectmap mode, the active-low chip select signal. the pin becomes a user i/o after configuration unless the selectmap port is retained. tdi, tdo, tms, tck yes mixed boundary-scan test-access-port pins, as defined in ieee1149.1. dxn, dxp yes n/a temperature-sensing diode pins. (anode: dxp, cathode: dxn) v ccint yes input power-supply pins for the internal core logic. v cco yes input power-supply pins for the output drivers (subject to banking rules) v ref no input input threshold voltage pins. become user i/os when an external threshold voltage is not needed (subject to banking rules). gnd yes input ground
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 2 1-800-255-7778 production product specification pinout differences between virtex and virtex-e families the same device in the same package for the virtex-e and virtex families are pin-compatible with some minor excep- tions, listed in ta b l e 1 . xcv200e device, fg456 package the virtex-e xcv200e has two i/o pins swapped with the virtex xcv200 to accommodate differential clock pairing. xcv400e device, fg676 package the virtex-e xcv400e has two i/o pins swapped with the virtex xcv400 to accommodate differential clock pairing. all devices, pq240 and hq240 packages the virtex devices in pq240 and hq240 packages do not have v cco banking, but virtex-e devices do. to achieve this, eight virtex i/o pins (p232, p207, p176, p146, p116, p85, p55, and p25) are now vcco pins in the virtex-e fam- ily. this change also requires one virtex i/o or vref pin to be swapped with a standard i/o pin. additionally, accommodating differential clock input pairs in virtex-e caused some io_v ref differences in the xcv400e and xcv600e devices only. virtex io_v ref pins p215 and p87 are virtex-e io_v ref pins p216 and p86, respectively. virtex-e pins p215 and p87 are io_dll. table 1: pinout differences summary part package pins virtex virtex-e xcv200 fg456 e11, u11 i/o no connect b11, aa11 no connect io_lvds_dll xcv400 fg676 d13, y13 i/o no connect b13, af13 no connect io_lvds_dll xcv400/600 pq240/hq240 p215, p87 io_v ref io_lvds_dll p216, p86 i/o io_v ref all pq240/hq240 p232, p207, p176, p146, p116, p85, p55, and p25 i/o v cco p231 i/o io_v ref
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 3 low voltage differential signals the virtex-e family incorporates low-voltage signalling (lvds and lvpecl). two pins are utilized for these si gnals to be connected to a virtex-e device. these are known as differential pin pairs. each differential pin pair has a positive (p) and a negative (n) pin. these pairs are labeled in the following manner. io_l#[p/n] where l = lvds or lvpecl pin # = pin pair number p = positive n = negative i/o pins for differential signals can either be synchronous or asynchronous, input or output. the pin pairs can be used for synchronous input and output signals as well as asynchro- nous input signals. however, only some of the low-voltage pairs can be used for asynchronous output signals. differential signals require the pins of a pair to switch almost simultaneously. if the signals driving the pins are from iob flip-flops, they are synchronous. if the signals driving the pins are from internal logic, they are asynchronous. ta ble 2 defines the names and function of the different types of low-voltage pin pairs in the virtex-e family. virtex-e package pinouts the virtex-e family of fpgas is available in 12 popular packages, including chip-scale, plastic and high heat-dissi- pation quad flat packs, and ball grid and fine-pitch ball grid arrays. family members have footprint compatibility across devices provided in the same package. the pinout tables in this section indicate function, pin, and bank information for each package/device combination. following each pinout table is an additional table summarizing information specific to differential pin pairs for all devices provided in that pack- age. ta ble 2 : lvd s p in pa ir s pin name description io_l#[p/n] example: io_l22n represents a general io or a synchronous input/output differential signal. when used as a differential signal, n means negative i/o and p means positive i/o. io_l#[p/n]_y example: io_l22n_y represents a general io or a synchronous input/output differential signal, or a part-dependent asynchronous output differential signal. io_l#[p/n]_yy example: o_l22n_yy represents a general io or a synchronous input/output differential signal, or an asynchronous output differential signal. io_lvds_dll_l#[p/n] example: io_lvds_dll_l16n represents a general io or a synchronous input/output differential signal, a differential clock input signal, or a dll input. when used as a differential clock input, this pin is paired with the adjacent gck pin. the gck pin is always the positive input in the differential clock input configuration.
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 4 1-800-255-7778 production product specification cs144 chip-scale package XCV50E, xcv100e, xcv200e, xcv300e and xcv400e devices in cs144 chip-scale packages have footprint com- patibility. in the cs144 package, bank pairs that share a side are internally interconnected, permitting four choices for v cco . see table 3 . pins labeled i0_vref can be used as either in all parts unless device-dependent, as indicated in the footnotes. if the pin is not used as v ref , it can be used as general i/o. immediately following table 4 , see ta b l e 5 is differential pair information. table 3: i/o bank pairs and shared vcco pins paired banks shared v cco pins banks 0 & 1 a2, a13, d7 banks 2 & 3 b12, g11, m13 banks 4 & 5 n1, n7, n13 banks 6 & 7 b2, g2, m2 table 4: cs144 ? XCV50E, xcv100e, xcv200e bank pin description pin # 0gck3a6 0iob3 0 io_vref_l0n_yy b4 2 0 io_l0p_yy a4 0 io_l1n_yy b5 0 io_l1p_yy a5 0 io_lvds_dll_l2n c6 0 io_vref a3 1 0 io_vref c4 0 io_vref d6 1gck2a7 1ioa8 1 io_lvds_dll_l2p b7 1 io_l3n_yy c8 1 io_l3p_yy d8 1 io_l4n_yy c9 1 io_vref_l4p_yy d9 2 1 io_write_l5n_yy c10 1 io_cs_l5p_yy d10 1 io_vref a10 1 io_vref b8 1 io_vref b10 1 2iod12 2iof12 2 io_dout_busy_l6p_yy c11 2 io_din_d0_l6n_yy c12 2 io_d1_l7n e10 2 io_vref_l7p d13 2 2 io_l8n_yy e13 2 io_d2_l8p_yy e12 2 io_d3_l9n f11 2 io_vref_l9p f10 2 io_l10p f13 2 io_vref c13 1 2 io_vref d11 3ioh13 3iok13 3 io_l10n g13 3 io_vref_l11n h11 3 io_d4_l11p h12 3 io_d5_l12n_yy j13 3 io_l12p_yy h10 3 io_vref_l13n j10 2 3 io_d6_l13p j11 3 io_init_l14n_yy l13 3 io_d7_l14p_yy k10 3 io_vref k11 1 3 io_vref k12 4gck0k7 4iom8 4iom10 ta ble 4 : cs144 ? XCV50E, xcv100e, xcv200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 5 4 io_l15n_yy m11 4 io_l15p_yy l11 4 io_l16n_yy k9 4 io_vref_l16p_yy n10 2 4 io_l17n_yy k8 4 io_l17p_yy n9 4 io_lvds_dll_l18p n8 4 io_vref l8 4 io_vref l10 4 io_vref n11 1 5gck1m7 5iom4 5 io_lvds_dll_l18n m6 5 io_l19n_yy n5 5 io_l19p_yy k6 5 io_vref_l20n_yy n4 2 5 io_l20p_yy k5 5 io_l21n_yy m3 5 io_l21p_yy n3 5 io_vref k4 1 5 io_vref l4 5 io_vref l6 6iog4 6ioj4 6 io_l25p h1 6 io_vref_l25n h2 6 io_l24p_yy h3 6 io_l24n_yy h4 6 io_l23p j2 6 io_vref_l23n j3 2 6 io_vref k1 6 io_vref k2 1 6 io_l22n_yy l1 6 io_l22p_yy k3 table 4: cs144 ? XCV50E, xcv100e, xcv200e bank pin description pin # 6 io_l26n g1 7ioc2 7iod3 7iof3 7 io_l26p f2 7 io_l27n f4 7 io_vref_l27p e1 7 io_l28n_yy e2 7 io_l28p_yy e3 7 io_l29n d1 7 io_vref_l29p d2 2 7 io_vref c1 1 7 io_vref d4 2 cclk b13 3donem12 na m0 m1 na m1 l2 na m2 n2 na program l12 na tdi a11 na tck c3 2tdoa12 na tms b1 na vccint a9 na vccint b6 na vccint c5 na vccint g3 na vccint g12 na vccint m5 na vccint m9 na vccint n6 0 vcco a2 ta ble 4 : cs144 ? XCV50E, xcv100e, xcv200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 6 1-800-255-7778 production product specification cs144 differential pin pairs virtex-e devices have differential pin pairs that can also pro- vide other functions when not used as a differential pair. a in the ao column indicates that the pin pair can be used as an asynchronous output for all devices provided in this package. pairs with a note number in the ao column are device dependent. they can have asynchronous outputs if the pin pair are in the same clb row and column in the device. numbers in this column refer to footnotes that indi- cate which devices have pin pairs than can be asynchro- nous outputs. the other functions column indicates alternative function(s) not available when the pair is used as a differential pair or differential clock. 1 vcco a13 1 vcco d7 2 vcco b12 3 vcco g11 3 vcco m13 4 vcco n13 5 vcco n1 5 vcco n7 6 vcco m2 7 vcco b2 7 vcco g2 na gnd a1 na gnd b9 na gnd b11 na gnd c7 na gnd d5 na gnd e4 na gnd e11 na gnd f1 na gnd g10 na gnd j1 na gnd j12 na gnd l3 na gnd l5 na gnd l7 na gnd l9 na gnd n12 notes: 1. v ref or i/o option only in the xcv200e; otherwise, i/o option only. 2. v ref or i/o option only in the xcv100e, 200e; otherwise, i/o option only. table 4: cs144 ? XCV50E, xcv100e, xcv200e bank pin description pin # ta ble 5 : cs144 differential pin pair summary XCV50E, xcv100e, xcv200e pair bank p pin n pin ao other functions global differential clock 0 4 k7 n8 na io_dll_l18p 1 5 m7 m6 na io_dll_l18n 2 1 a7 b7 na io_dll_l2p 3 0 a6 c6 na io_dll_l2n io lvds total pairs: 30, asynchronous output pairs: 18 00a4b4 vref 10a5b5 - 2 1 b7 c6 na io_lvds_dll 3 1 d8 c8 - 4 1 d9 c9 vref 51d10c10 cs, write 62c11c12 din, d0 7 2 d13 e10 1 d1, vref 82e12e13 d2 9 2 f10 f11 1 d3, vref 10 3 f13 g13 na - 11 3 h12 h11 1 d4, vref 12 3 h10 j13 d5 13 3 j11 j10 1 d6, vref 14 3 k10 l13 init 154l11m11 - 16 4 n10 k9 vref 17 4 n9 k8 -
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 7 pq240 plastic quad flat-pack packages XCV50E, xcv100e, xcv200e, xcv300e and xcv400e devices in pq240 plastic flat-pack packages have footprint compatibility. pins labeled i0_vref can be used as either in all parts unless device-dependent as indicated in the foot- notes. if the pin is not used as v ref , it can be used as gen- eral i/o. immediately following ta b l e 6 , see table 7 for differential pair information. 18 5 n8 m6 na io_lvds_dll 19 5 k6 n5 - 20 5 k5 n4 vref 21 5 n3 m3 - 22 6 k3 l1 - 23 6 j2 j3 1 vref 24 6 h3 h4 - 25 6 h1h21 vref 26 7 f2 g1 na - 27 7 e1 f4 1 vref 28 7 e3 e2 - 29 7 d2d11 vref note 1: ao in the XCV50E table 6: pq240 ? XCV50E, xcv100e, xcv200e, xcv300e, xcv400e pin # pin description bank p238 io 0 p237 io_l0n_y 0 p236 2 io_vref_l0p_y 0 p235 io_l1n_yy 0 p234 io_l1p_yy 0 p231 io_vref 0 p230 io 0 p229 1 io_vref_l2n_yy 0 p228 io_l2p_yy 0 p224 io_l3n_yy 0 p223 io_l3p_yy 0 table 5: cs144 differential pin pair summary XCV50E, xcv100e, xcv200e pair bank p pin n pin ao other functions p222 io 0 p221 io_l4n_y 0 p220 io_l4p_y 0 p218 io_vref_l5n_y 0 p217 io_l5p_y 0 p216 3 io_vref 0 p215 io_lvds_dll_l6n 0 p213 gck3 0 p210 gck2 1 p209 io_lvds_dll_l6p 1 p208 3 io_vref 1 p206 io_l7n_y 1 p205 io_vref_l7p_y 1 p203 io_l8n_y 1 p202 io_l8p_y 1 p201 io 1 p200 io_l9n_yy 1 p199 io_l9p_yy 1 p195 io_l10n_yy 1 p194 1 io_vref_l10p_yy 1 p193 io 1 p192 io_l11n_yy 1 p191 io_vref_l11p_yy 1 p189 io_l12n_yy 1 p188 io_l12p_yy 1 p187 2 io_vref_l13n_y 1 p186 io_l13p_y 1 p185 io_write_l14n_yy 1 p184 io_cs_l14p_yy 1 p178 io_dout_busy_l15p_yy 2 p177 io_din_d0_l15n_yy 2 p175 2 io_vref 2 p174 io_l16p_y 2 ta ble 6 : pq240 ? XCV50E, xcv100e, xcv200e, xcv300e, xcv400e pin # pin description bank
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 8 1-800-255-7778 production product specification p173 io_l16n_y 2 p171 io_vref_l17p_y 2 p170 io_l17n_y 2 p169 io 2 p168 1 io_vref_l18p_y 2 p167 io_d1_l18n_y 2 p163 io_d2_l19p_yy 2 p162 io_l19n_yy 2 p161 io 2 p160 io_l20p_y 2 p159 io_l20n_y 2 p157 io_vref_l21p_y 2 p156 io_d3_l21n_y 2 p155 io_l22p_y 2 p154 3 io_vref_l22n_y 2 p153 io_l23p_yy 2 p152 io_l23n_yy 2 p149 io 3 p147 3 io_vref 3 p145 io_d4_l24p_y 3 p144 io_vref_l24n_y 3 p142 io_l25p_y 3 p141 io_l25n_y 3 p140 io 3 p139 io_l26p_yy 3 p138 io_d5_l26n_yy 3 p134 io_d6_l27p_y 3 p133 1 io_vref_l27n_y 3 p132 io 3 p131 io_l28p_y 3 p130 io_vref_l28n_y 3 p128 io_l29p_y 3 p127 io_l29n_y 3 p126 2 io_vref_l30p_y 3 table 6: pq240 ? XCV50E, xcv100e, xcv200e, xcv300e, xcv400e pin # pin description bank p125 io_l30n_y 3 p124 io_d7_l31p_yy 3 p123 io_init_l31n_yy 3 p118 io_l32p_yy 4 p117 io_l32n_yy 4 p115 2 io_vref 4 p114 io_l33p_yy 4 p113 io_l33n_yy 4 p111 io_vref_l34p_yy 4 p110 io_l34n_yy 4 p109 io 4 p108 1 io_vref_l35p_yy 4 p107 io_l35n_yy 4 p103 io_l36p_yy 4 p102 io_l36n_yy 4 p101 io 4 p100 io_l37p_y 4 p99 io_l37n_y 4 p97 io_vref_l38p_y 4 p96 io_l38n_y 4 p95 io_l39p_y 4 p94 3 io_vref_l39n_y 4 p93 io_lvds_dll_l40p 4 p92 gck0 4 p89 gck1 5 p87 io_lvds_dll_l40n 5 p86 3 io_vref 5 p84 io_vref_l41p_y 5 p82 io_l41n_y 5 p81 io 5 p80 io 5 p79 io_l42p_yy 5 p78 io_l42n_yy 5 ta ble 6 : pq240 ? XCV50E, xcv100e, xcv200e, xcv300e, xcv400e pin # pin description bank
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 9 p74 io_l43p_yy 5 p73 1 io_vref_l43n_yy 5 p72 io 5 p71 io_l44p_yy 5 p70 io_vref_l44n_yy 5 p68 io_l45p_yy 5 p67 io_l45n_yy 5 p66 2 io_vref_l46p_y 5 p65 io_l46n_y 5 p64 io_l47p_yy 5 p63 io_l47n_yy 5 p57 io_l48n_yy 6 p56 io_l48p_yy 6 p54 2 io_vref 6 p53 io_l49n_y 6 p52 io_l49p_y 6 p50 io_vref_l50n_y 6 p49 io_l50p_y 6 p48 io 6 p47 1 io_vref_l51n_y 6 p46 io_l51p_y 6 p42 io_l52n_yy 6 p41 io_l52p_yy 6 p40 io 6 p39 io_l53n_y 6 p38 io_l53p_y 6 p36 io_vref_l54n_y 6 p35 io_l54p_y 6 p34 io_l55n_y 6 p33 3 io_vref_l55p_y 6 p31 io 6 p28 io_l56n_yy 7 p27 io_l56p_yy 7 table 6: pq240 ? XCV50E, xcv100e, xcv200e, xcv300e, xcv400e pin # pin description bank p26 3 io_vref 7 p24 io_l57n_y 7 p23 io_vref_l57p_y 7 p21 io_l58n_y 7 p20 io_l58p_y 7 p19 io 7 p18 io_l59n_yy 7 p17 io_l59p_yy 7 p13 io_l60n_y 7 p12 1 io_vref_l60p_y 7 p11 io 7 p10 io_l61n_y 7 p9 io_vref_l61p_y 7 p7 io_l62n_y 7 p6 io_l62p_y 7 p5 2 io_vref_l63n_y 7 p4 io_l63p_y 7 p3 io 7 p179 cclk 2 p120 done 3 p60 m0 na p58 m1 na p62 m2 na p122 program na p183 tdi na p239 tck na p181 tdo 2 p2 tms na p225 vccint na p214 vccint na p198 vccint na p164 vccint na p148 vccint na ta ble 6 : pq240 ? XCV50E, xcv100e, xcv200e, xcv300e, xcv400e pin # pin description bank
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 10 1-800-255-7778 production product specification p137 vccint na p104 vccint na p88 vccint na p77 vccint na p43 vccint na p32 vccint na p16 vccint na p240 vcco 7 p232 vcco 0 p226 vcco 0 p212 vcco 0 p207 vcco 1 p197 vcco 1 p180 vcco 1 p176 vcco 2 p165 vcco 2 p150 vcco 2 p146 vcco 3 p136 vcco 3 p121 vcco 3 p116 vcco 4 p105 vcco 4 p90 vcco 4 p85 vcco 5 p76 vcco 5 p61 vcco 5 p55 vcco 6 p44 vcco 6 p30 vcco 6 p25 vcco 7 p15 vcco 7 p233 gnd na p227 gnd na table 6: pq240 ? XCV50E, xcv100e, xcv200e, xcv300e, xcv400e pin # pin description bank p219 gnd na p211 gnd na p204 gnd na p196 gnd na p190 gnd na p182 gnd na p172 gnd na p166 gnd na p158 gnd na p151 gnd na p143 gnd na p135 gnd na p129 gnd na p119 gnd na p112 gnd na p106 gnd na p98 gnd na p91 gnd na p83 gnd na p75 gnd na p69 gnd na p59 gnd na p51 gnd na p45 gnd na p37 gnd na p29 gnd na p22 gnd na p14 gnd na p8 gnd na p1 gnd na notes: 1. v ref or i/o option only in the xcv100e, 200e, 300e, 400e; otherwise, i/o option only. 2. v ref or i/o option only in the xcv200e, 300e, 400e; otherwise, i/o option only. 3. v ref or i/o option only in the xcv400e; otherwise, i/o option only. ta ble 6 : pq240 ? XCV50E, xcv100e, xcv200e, xcv300e, xcv400e pin # pin description bank
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 11 pq240 differential pin pairs virtex-e devices have differential pin pairs that can also pro- vide other functions when not used as a differential pair. a in the ao column indicates that the pin pair can be used as an asynchronous output for all devices provided in this package. pairs with a note number in the ao column are device dependent. they can have asynchronous outputs if the pin pair are in the same clb row and column in the device. numbers in this column refer to footnotes that indi- cate which devices have pin pairs than can be asynchro- nous outputs. the other functions column indicates alternative function(s) not available when the pair is used as a differential pair or differential clock. . table 7: pq240 differential pin pair summary XCV50E, xcv100e, xcv200e, xcv300e, xcv400e pair bank p pin n pin ao other functions global differential clock 0 4 p92 p93 na io_dll_l40p 1 5 p89 p87 na io_dll_l40n 2 1 p210 p209 na io_dll_l6p 3 0 p213 p215 na io_dll_l6n io lvds total pairs: 64, asynchronous outputs pairs: 27 0 0 p236 p237 1 vref 1 0 p234 p235 - 2 0 p228 p229 vref 3 0 p223 p224 - 4 0 p220 p221 3 - 5 0 p217 p218 3 vref 6 1 p209 p215 na io_lvds_dll 7 1 p205 p206 3 vref 8 1 p202 p203 3 - 9 1 p199 p200 - 10 1 p194 p195 vref 11 1 p191 p192 vref 12 1 p188 p189 - 13 1 p186 p187 1 vref 14 1 p184 p185 cs 15 2 p178 p177 din, d0 16 2 p174 p173 2 - 17 2 p171 p170 3 vref 18 2 p168 p167 4 d1, vref 19 2 p163 p162 d2 20 2 p160 p159 2 - 21 2 p157 p156 4 d3, vref 22 2 p155 p154 5 vref 23 2 p153 p152 - 24 3 p145 p144 4 d4, vref 25 3 p142 p141 2 - 26 3 p139 p138 d5 27 3 p134 p133 4 vref 28 3 p131 p130 3 vref 29 3 p128 p127 2 - 30 3 p126 p125 6 vref 31 3 p124 p123 init 32 4 p118 p117 - 33 4 p114 p113 - 34 4 p111 p110 vref 35 4 p108 p107 vref 36 4 p103 p102 - 37 4 p100 p99 3 - 38 4 p97 p96 3 vref 39 4 p95 p94 7 vref 40 5 p93 p87 na io_lvds_dll 41 5 p84 p82 8 vref 42 5 p79 p78 - 43 5 p74 p73 vref 44 5 p71 p70 vref 45 5 p68 p67 - 46 5 p66 p65 1 vref 47 5 p64 p63 - ta ble 7 : pq240 differential pin pair summary XCV50E, xcv100e, xcv200e, xcv300e, xcv400e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 12 1-800-255-7778 production product specification hq240 high-heat quad flat-pack packages xcv600e and xcv1000e devices in high-heat dissipation quad flat-pack packages have footprint compatibility. pins labeled i0_vref can be used as either in all parts unless device-dependent as indicated in the footnotes. if the pin is not used as v ref , it can be used as general i/o. immedi- ately following ta ble 8 , see ta b l e 9 for differential pair infor- mation. 48 6 p56 p57 - 49 6 p52 p53 2 - 50 6 p49 p50 3 vref 51 6 p46 p47 4 vref 52 6 p41 p42 - 53 6 p38 p39 2 - 54 6 p35 p36 4 vref 55 6 p33 p34 5 vref 56 7 p27 p28 - 57 7 p23 p24 4 vref 58 7 p20 p21 2 - 59 7 p17 p18 - 60 7 p12 p13 4 vref 61 7 p9 p10 3 vref 62 7 p6 p7 2 - 63 7 p4 p5 6 vref notes: 1. ao in the XCV50E. 2. ao in the XCV50E, 100e, 200e, 300e. 3. ao in the XCV50E, 200e, 300e, 400e. 4. ao in the XCV50E, 300e, 400e. 5. ao in the xcv100e, 200e, 400e. 6. ao in the xcv100e, 400e. 7. ao in the XCV50E, 200e, 400e. 8. ao in the xcv100e. table 7: pq240 differential pin pair summary XCV50E, xcv100e, xcv200e, xcv300e, xcv400e pair bank p pin n pin ao other functions ta ble 8 : hq240 ? xcv600e, xcv1000e pin # pin description bank p240 vcco 7 p239 tck na p238 io 0 p237 io_l0n 0 p236 io_vref_l0p 0 p235 io_l1n_yy 0 p234 io_l1p_yy 0 p233 gnd na p232 vcco 0 p231 io_vref 0 p230 io_vref 0 p229 io_vref_l2n_yy 0 p228 io_l2p_yy 0 p227 gnd na p226 vcco 0 p225 vccint na p224 io_l3n_yy 0 p223 io_l3p_yy 0 p222 io_vref 0 1 p221 io_l4n_y 0 p220 io_l4p_y 0 p219 gnd na p218 io_vref_l5n_y 0 p217 io_l5p_y 0 p216 io_vref 0 p215 io_lvds_dll_l6n 0 p214 vccint na p213 gck3 0 p212 vcco 0 p211 gnd na
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 13 p210 gck2 1 p209 io_lvds_dll_l6p 1 p208 io_vref 1 p207 vcco 1 p206 io_l7n_y 1 p205 io_vref_l7p_y 1 p204 gnd na p203 io_l8n_y 1 p202 io_l8p_y 1 p201 1 io_vref 1 p200 io_l9n_yy 1 p199 io_l9p_yy 1 p198 vccint na p197 vcco 1 p196 gnd na p195 io_l10n_yy 1 p194 io_vref_l10p_yy 1 p193 io_vref 1 p192 io_l11n_yy 1 p191 io_vref_l11p_yy 1 p190 gnd na p189 io_l12n_yy 1 p188 io_l12p_yy 1 p187 io_vref_l13n 1 p186 io_l13p 1 p185 io_write_l14n_yy 1 p184 io_cs_l14p_yy 1 p183 tdi na p182 gnd na p181 tdo 2 p180 vcco 1 p179 cclk 2 p178 io_dout_busy_l15p_yy 2 p177 io_din_d0_l15n_yy 2 p176 vcco 2 p175 io_vref 2 table 8: hq240 ? xcv600e, xcv1000e pin # pin description bank p174 io_l16p_y 2 p173 io_l16n_y 2 p172 gnd na p171 io_vref_l17p_y 2 p170 io_l17n_y 2 p169 io_vref 2 p168 io_vref_l18p_y 2 p167 io_d1_l18n_y 2 p166 gnd na p165 vcco 2 p164 vccint na p163 io_d2_l19p_yy 2 p162 io_l19n_yy 2 p161 1 io_vref 2 p160 io_l20p_y 2 p159 io_l20n_y 2 p158 gnd na p157 io_vref_l21p_y 2 p156 io_d3_l21n_y 2 p155 io_l22p_y 2 p154 io_vref_l22n_y 2 p153 io_l23p_yy 2 p152 io_l23n_yy 2 p151 gnd na p150 vcco 2 p149 io 3 p148 vccint na p147 io_vref 3 p146 vcco 3 p145 io_d4_l24p_y 3 p144 io_vref_l24n_y 3 p143 gnd na p142 io_l25p_y 3 p141 io_l25n_y 3 p140 1 io_vref 3 p139 io_l26p_yy 3 ta ble 8 : hq240 ? xcv600e, xcv1000e pin # pin description bank
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 14 1-800-255-7778 production product specification p138 io_d5_l26n_yy 3 p137 vccint na p136 vcco 3 p135 gnd na p134 io_d6_l27p_y 3 p133 io_vref_l27n_y 3 p132 io_vref 3 p131 io_l28p_y 3 p130 io_vref_l28n_y 3 p129 gnd na p128 io_l29p_y 3 p127 io_l29n_y 3 p126 io_vref_l30p_y 3 p125 io_l30n_y 3 p124 io_d7_l31p_yy 3 p123 io_init_l31n_yy 3 p122 program na p121 vcco 3 p120 done 3 p119 gnd na p118 io_l32p_yy 4 p117 io_l32n_yy 4 p116 vcco 4 p115 io_vref 4 p114 io_l33p_yy 4 p113 io_l33n_yy 4 p112 gnd na p111 io_vref_l34p_yy 4 p110 io_l34n_yy 4 p109 io_vref 4 p108 io_vref_l35p_yy 4 p107 io_l35n_yy 4 p106 gnd na p105 vcco 4 p104 vccint na p103 io_l36p_yy 4 table 8: hq240 ? xcv600e, xcv1000e pin # pin description bank p102 io_l36n_yy 4 p101 1 io_vref 4 p100 io_l37p_y 4 p99 io_l37n_y 4 p98 gnd na p97 io_vref_l38p_y 4 p96 io_l38n_y 4 p95 io_l39p 4 p94 io_vref_l39n 4 p93 io_lvds_dll_l40p 4 p92 gck0 4 p91 gnd na p90 vcco 4 p89 gck1 5 p88 vccint na p87 io_lvds_dll_l40n 5 p86 io_vref 5 p85 vcco 5 p84 io_vref_l41p 5 p83 gnd na p82 io_l41n 5 p81 io 5 p80 1 io_vref 5 p79 io_l42p_yy 5 p78 io_l42n_yy 5 p77 vccint na p76 vcco 5 p75 gnd na p74 io_l43p_yy 5 p73 io_vref_l43n_yy 5 p72 io_vref 5 p71 io_l44p_yy 5 p70 io_vref_l44n_yy 5 p69 gnd na p68 io_l45p_yy 5 p67 io_l45n_yy 5 ta ble 8 : hq240 ? xcv600e, xcv1000e pin # pin description bank
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 15 p66 io_vref_l46p 5 p65 io_l46n 5 p64 io_l47p_yy 5 p63 io_l47n_yy 5 p62 m2 na p61 vcco 5 p60 m0 na p59 gnd na p58 m1 na p57 io_l48n_yy 6 p56 io_l48p_yy 6 p55 vcco 6 p54 io_vref 6 p53 io_l49n_y 6 p52 io_l49p_y 6 p51 gnd na p50 io_vref_l50n_y 6 p49 io_l50p_y 6 p48 io_vref 6 p47 io_vref_l51n_y 6 p46 io_l51p_y 6 p45 gnd na p44 vcco 6 p43 vccint na p42 io_l52n_yy 6 p41 io_l52p_yy 6 p40 1 io_vref 6 p39 io_l53n_y 6 p38 io_l53p_y 6 p37 gnd na p36 io_vref_l54n_y 6 p35 io_l54p_y 6 p34 io_l55n_y 6 p33 io_vref_l55p_y 6 p32 vccint na p31 io 6 table 8: hq240 ? xcv600e, xcv1000e pin # pin description bank p30 vcco 6 p29 gnd na p28 io_l56n_yy 7 p27 io_l56p_yy 7 p26 io_vref 7 p25 vcco 7 p24 io_l57n_y 7 p23 io_vref_l57p_y 7 p22 gnd na p21 io_l58n_y 7 p20 io_l58p_y 7 p19 1 io_vref 7 p18 io_l59n_yy 7 p17 io_l59p_yy 7 p16 vccint na p15 vcco 7 p14 gnd na p13 io_l60n_y 7 p12 io_vref_l60p_y 7 p11 io_vref 7 p10 io_l61n_y 7 p9 io_vref_l61p_y 7 p8 gnd na p7 io_l62n_y 7 p6 io_l62p_y 7 p5 io_vref_l63n_y 7 p4 io_l63p_y 7 p3 io 7 p2 tms na p1 gnd na notes: 1. v ref or i/o option only in the xcv1000e; otherwise, i/o option only. ta ble 8 : hq240 ? xcv600e, xcv1000e pin # pin description bank
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 16 1-800-255-7778 production product specification hq240 differential pin pairs virtex-e devices have differential pin pairs that can also pro- vide other functions when not used as a differential pair. a in the ao column indicates that the pin pair can be used as an asynchronous output for all devices provided in this package. pairs with a note number in the ao column are device dependent. they can have asynchronous outputs if the pin pair are in the same clb row and column in the device. numbers in this column refer to footnotes that indi- cate which devices have pin pairs than can be asynchro- nous outputs. the other functions column indicates alternative function(s) not available when the pair is used as a differential pair or differential clock. table 9: hq240 differential pin pair summary xcv600e, xcv1000e pair bank p pin n pin ao other functions global differential clock 0 4 p92 p93 na io _dll_l40p 1 5 p89 p87 na io _dll_l40n 2 1 p210 p209 na io _dll_l6p 3 0 p213 p215 na io _dll_l6n io lvds total pairs: 64, asynchronous output pairs: 53 0 0 p236 p237 na vref 10p234p235 - 20p228p229 vref 30p223p224 - 40p220p221 - 50p217p218 vref 6 1 p209 p215 na io_lvds_dll 71p205p206 vref 81p202p203 - 91p199p200 - 10 1 p194 p195 vref 11 1 p191 p192 vref 12 1 p188 p189 - 13 1 p186 p187 na vref 14 1 p184 p185 cs 15 2 p178 p177 din, d0 16 2 p174 p173 - 17 2 p171 p170 vref 18 2 p168 p167 d1 19 2 p163 p162 d2 20 2 p160 p159 - 21 2 p157 p156 d3 22 2 p155 p154 1 vref 23 2 p153 p152 - 24 3 p145 p144 d4, vref 25 3 p142 p141 - 26 3 p139 p138 d5 27 3 p134 p133 vref 28 3 p131 p130 vref 29 3 p128 p127 - 30 3 p126 p125 1 vref 31 3 p124 p123 init 32 4 p118 p117 - 33 4 p114 p113 - 34 4 p111 p110 vref 35 4 p108 p107 vref 36 4 p103 p102 - 37 4 p100 p99 - 38 4 p97 p96 vref 39 4 p95 p94 na vref 40 5 p93 p87 na io_lvds_dll 41 5 p84 p82 na vref 42 5 p79 p78 - 43 5 p74 p73 vref 44 5 p71 p70 vref 45 5 p68 p67 - 46 5 p66 p65 na vref 47 5 p64 p63 - ta ble 9 : hq240 differential pin pair summary xcv600e, xcv1000e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 17 bg352 ball grid array packages xcv100e, xcv200e, and xcv300e devices in bg352 ball grid array packages have footprint compatibility. pins labeled i0_vref can be used as either in all parts unless device-dependent as indicated in the footnotes. if the pin is not used as v ref , it can be used as general i/o. immedi- ately following table 10 , see ta ble 1 1 for differential pair information. 48 6 p56 p57 - 49 6 p52 p53 - 50 6 p49 p50 vref 51 6 p46 p47 vref 52 6 p41 p42 - 53 6 p38 p39 - 54 6 p35 p36 vref 55 6 p33 p34 1 vref 56 7 p27 p28 - 57 7 p23 p24 vref 58 7 p20 p21 - 59 7 p17 p18 - 60 7 p12 p13 vref 61 7 p9 p10 vref 62 7 p6 p7 - 63 7 p4 p5 1 vref note 1: ao in the xcv600e. table 9: hq240 differential pin pair summary xcv600e, xcv1000e pair bank p pin n pin ao other functions table 10: bg352 ? xcv100e, xcv200e, xcv300e bank pin description pin # 0 io d22 0 io c23 1 0 io b24 1 0 io c22 0 io_vref_0_l0n_yy d21 2 0 io_l0p_yy b23 0 io a24 1 0 io_l1n_yy a23 0 io_l1p_yy d20 0 io_vref_0_l2n_yy c21 0 io_l2p_yy b22 0 io b21 1 0 io c20 1 0 io_l3n b20 0 io_l3p a21 0 io d18 0 io_vref_0_l4n_yy c19 0 io_l4p_yy b19 0 io_l5n_yy d17 0 io_l5p_yy c18 0 io b18 1 0 io_l6n c17 0 io_l6p a18 0 io d16 1 0 io_l7n_y b17 0 io_l7p_y c16 0 io_vref_0_l8n_y a16 0 io_l8p_y d15
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 18 1-800-255-7778 production product specification 0 io c15 0 io b15 1 0 io_lvds_dll_l9n a15 0 gck3 d14 1 gck2 b14 1 io_lvds_dll_l9p a13 1 io b13 1 1 io_l10n c13 1 io_l10p a12 1 io_l11n_y b12 1 io_vref_1_l11p_y c12 1 io_l12n_y a11 1 io_l12p_y b11 1 io b10 1 1 io_l13n c11 1 io_l13p d11 1 io a9 1 1 io_l14n_yy b9 1 io_l14p_yy c10 1 io_l15n_yy b8 1 io_vref_1_l15p_yy c9 1 io_l16n _y d9 1 io_l16p _y a7 1 io b7 1 io c8 1 1 io d8 1 1 io_l17n_yy a6 1 io_vref_1_l17p_yy b6 1 io_l18n_yy c7 1 io_l18p_yy a4 1 io b5 1 1 io_l19n_yy c6 1 io_vref_1_l19p_yy d6 2 table 10: bg352 ? xcv100e, xcv200e, xcv300e bank pin description pin # 1 io b4 1 io c5 1 1 io a3 1 1 io_write_l20n_yy d5 1 io_cs_l20p_yy c4 2 io_dout_busy_l21p_yy e4 2 io_din_d0_l21n_yy d3 2 io c2 1 2 io e3 1 2 io f4 2 io_vref_2_l22p_yy d2 2 2 io_l22n_yy c1 2 io d1 1 2 io_l23p_yy g4 2 io_l23n_yy f3 2 io_vref_2_l24p_y e2 2 io_l24n_y f2 2 io g3 1 2 io g2 1 2 io_l25p f1 2 io_l25n j4 2 io h3 2 io_vref_2_l26p _y h2 2 io_d1_l26n _y g1 2 io_d2_l27p_yy j3 2 io_l27n_yy j2 2 io k3 1 2 io_l28p j1 2 io_l28n l4 2 io k2 1 2 io_l29p_yy l3 2 io_l29n_yy l2 2 io_vref_2_l30p _y m4 table 10: bg352 ? xcv100e, xcv200e, xcv300e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 19 2 io_d3_l30n _y m3 2 io_l31p m2 2 io_l31n m1 2 io n3 1 2 io_l32p_yy n4 2 io_l32n_yy n2 3 io p1 3 io p3 1 3 io_l33p r1 3 io_l33n r2 3 io_d4_l34p _y r3 3 io_vref_3_l34n _y r4 3 io_l35p_yy t2 3 io_l35n_yy u2 3 io t3 1 3 io_l36p t4 3 io_l36n v1 3 io v2 1 3 io_l37p_yy u3 3 io_d5_l37n_yy u4 3 io_d6_l38p _y v3 3 io_vref_3_l38n _y v4 3 io_l39p _y y1 3 io_l39n _y y2 3 io w3 3 io w4 1 3 io aa1 1 3 io_l40p_y aa2 3 io_vref_3_l40n_y y3 3 io_l41p_yy ac1 3 io_l41n_yy ab2 3 io aa3 1 3 io_l42p_yy aa4 table 10: bg352 ? xcv100e, xcv200e, xcv300e bank pin description pin # 3 io_vref_3_l42n_yy ac2 2 3 io ab3 3 io ad1 1 3 io ab4 1 3 io_d7_l43p_yy ac3 3 io_init_l43n_yy ad2 4 io_l44p_yy ac5 4 io_l44n_yy ad4 4 io ae3 1 4 io ad5 1 4 io ac6 4 io_vref_4_l45p_yy ae4 2 4 io_l45n_yy af3 4 io af4 1 4 io_l46p_yy ac7 4 io_l46n_yy ad6 4 io_vref_4_l47p_yy ae5 4 io_l47n_yy ae6 4 io ad7 1 4 io ae7 1 4 io_l48p af6 4 io_l48n ac9 4 io ad8 4 io_vref_4_l49p_yy ae8 4 io_l49n_yy af7 4 io_l50p_yy ad9 4 io_l50n_yy ae9 4 io ad10 1 4 io_l51p af9 4 io_l51n ac11 4 io ae10 1 4 io_l52p_y ad11 4 io_l52n_y ae11 table 10: bg352 ? xcv100e, xcv200e, xcv300e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 20 1-800-255-7778 production product specification 4 io_vref_4_l53p_y ac12 4 io_l53n_y ad12 4 io_l54p ae12 4 io_l54n af12 4 io ad13 1 4 io_lvds_dll_l55p ac13 4 gck0 ae13 5 gck1 af14 5 io_lvds_dll_l55n ad14 5 io af15 1 5 io ae15 5 io_l56p_y ad15 5 io_vref_5_l56n_y ac15 5 io_l57p_y ae16 5 io_l57n_y ae17 5 io ad16 1 5 io_l58p ac16 5 io_l58n af18 5 io ae18 1 5 io_l59p_yy ad17 5 io_l59n_yy ac17 5 io_l60p_yy ad18 5 io_vref_5_l60n_yy ac18 5 io_l61p _y af20 5 io_l61n _y ae20 5 io ad19 5 io ac19 1 5 io af21 1 5 io_l62p_yy ae21 5 io_vref_5_l62n_yy ad20 5 io_l63p_yy af23 5 io_l63n_yy ae22 5 io ad21 1 table 10: bg352 ? xcv100e, xcv200e, xcv300e bank pin description pin # 5 io_l64p_yy ac21 5 io_vref_5_l64n_yy ae23 2 5 io ad22 5 io af24 1 5 io ac22 1 6 io_l65n_yy ac24 6 io_l65p_yy ad25 6 io ab24 1 6 io aa23 1 6 io ac25 6 io_vref_6_l66n_yy ad26 2 6 io_l66p_yy ac26 6 io y23 1 6 io_l67n_yy aa24 6 io_l67p_yy ab25 6 io_vref_6_l68n_y aa25 6 io_l68p_y y24 6 io y25 1 6 io aa26 1 6 io_l69n v23 6 io_l69p w24 6 io w25 6 io_vref_6_l70n _y y26 6 io_l70p _y u23 6 io_l71n_yy v25 6 io_l71p_yy u24 6 io v26 1 6 io_l72n t23 6 io_l72p u25 6 io t24 1 6 io_l73n_yy t25 6 io_l73p_yy t26 6 io_vref_6_l74n _y r24 table 10: bg352 ? xcv100e, xcv200e, xcv300e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 21 6 io_l74p _y r25 6 io_l75n r26 6 io_l75p p24 6 io p23 1 6 io n26 7 io_l76n_yy n25 7 io_l76p_yy n24 7 io m26 1 7 io_l77n m25 7 io_l77p m24 7 io_l78n _y m23 7 io_vref_7_l78p _y l26 7 io_l79n_yy k25 7 io_l79p_yy l24 7 io l23 1 7 io_l80n j26 7 io_l80p j25 7 io k24 1 7 io_l81n_yy k23 7 io_l81p_yy h25 7 io_l82n _y j23 7 io_vref_7_l82p _y g26 7 io_l83n _y g25 7 io_l83p _y h24 7 io h23 7 io f26 1 7 io f25 1 7 io_l84n_y g24 7 io_vref_7_l84p_y d26 7 io_l85n_yy e25 7 io_l85p_yy f24 7 io f23 1 7 io_l86n_yy d25 table 10: bg352 ? xcv100e, xcv200e, xcv300e bank pin description pin # 7 io_vref_7_l86p_yy e24 2 7 io c26 7 io e23 1 7 io d24 1 7 io c25 na tdi b3 na tdo d4 na cclk c3 na tck c24 na tms d23 na program ac4 na done ad3 na dxn ad23 na dxp ae24 na m2 ac23 na m0 ad24 na m1 ab23 na vccint a20 na vccint b16 na vccint c14 na vccint d12 na vccint d10 na vccint k4 na vccint l1 na vccint p2 na vccint t1 na vccint w2 na vccint ac10 na vccint af11 na vccint ae14 na vccint af16 na vccint ae19 table 10: bg352 ? xcv100e, xcv200e, xcv300e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 22 1-800-255-7778 production product specification na vccint v24 na vccint r23 na vccint p25 na vccint l25 na vccint j24 0 vcco d19 0 vcco b25 0 vcco a17 1 vcco d13 1 vcco d7 1 vcco a10 2 vcco k1 2 vcco h4 2 vcco b2 3 vcco y4 3 vcco u1 3 vcco p4 4 vcco af10 4 vcco ae2 4 vcco ac8 5 vcco af17 5 vcco ac20 5 vcco ac14 6 vcco ae25 6 vcco w23 6 vcco u26 7 vcco n23 7 vcco k26 7 vcco g23 na gnd a26 na gnd a25 na gnd a22 table 10: bg352 ? xcv100e, xcv200e, xcv300e bank pin description pin # na gnd a19 na gnd a14 na gnd a8 na gnd a5 na gnd a2 na gnd a1 na gnd b26 na gnd b1 na gnd e26 na gnd e1 na gnd h26 na gnd h1 na gnd n1 na gnd p26 na gnd w26 na gnd w1 na gnd ab26 na gnd ab1 na gnd ae26 na gnd ae1 na gnd af26 na gnd af25 na gnd af22 na gnd af19 na gnd af13 na gnd af8 na gnd af5 na gnd af2 na gnd af1 notes: 1. no connect in the xcv100e. 2. v ref or i/o option only in the xcv200e and xcv300e; otherwise, i/o option only. table 10: bg352 ? xcv100e, xcv200e, xcv300e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 23 bg352 differential pin pairs virtex-e devices have differential pin pairs that can also pro- vide other functions when not used as a differential pair. a check ( ) in the ao column indicates that the pin pair can be used as an asynchronous output for all devices provided in this package. pairs with a note number in the ao column are device dependent. they can have asynchronous out- puts if the pin pair are in the same clb row and column in the device. numbers in this column refer to footnotes that indicate which devices have pin pairs than can be asynchro- nous outputs. the other functions column indicates alter- native function(s) not available when the pair is used as a differential pair or differential clock table 11: bg352 differential pin pair summary xcv100e, xcv200e, xcv300e pair bank p pin n pin ao other functions global differential clock 0 4 ae13 ac13 na io lvds 55 1 5 af14 ad14 na io lvds 55 2 1 b14 a13 na io lvds 9 3 0 d14 a15 na io lvds 9 io lvds total outputs: 87, asynchronous output pairs: 43 00b23 d21 vref_0 10d20 a23 - 20b22 c21 vref_0 3 0 a21 b20 2 - 40b19 c19 vref_0 5 0 c18 d17 - 60a18 c172 - 70c16 b17 - 80d15 a16 vref_0 9 1 a13 a15 gclk lvds 3/2 10 1 a12 c13 2 - 11 1 c12 b12 vref_1 12 1 b11 a11 - 13 1 d11 c11 2 - 14 1 c10 b9 - 15 1 c9 b8 vref_1 16 1 a7 d9 1 - 17 1 b6 a6 vref_1 18 1 a4 c7 - 19 1 d6 c6 vref_1 20 1 c4 d5 cs 21 2 e4 d3 din_d0 22 2 d2 c1 vref_2 23 2 g4 f3 - 24 2 e2 f2 vref_2 25 2 f1 j4 2 - 26 2 h2 g1 d1 27 2 j3 j2 d2 28 2 j1 l4 1 - 29 2 l3 l2 - 30 2 m4 m3 d3 31 2 m2 m1 2 - 32 2 n4 n2 - 33 3 r1 r2 2 - 34 3 r3 r4 vref_3 35 3 t2 u2 - 36 3 t4 v1 1 - 37 3 u3 u4 d5 38 3 v3 v4 vref_3 39 3 y1 y2 1 - 40 3 aa2 y3 vref_3 41 3 ac1 ab2 - 42 3 aa4 ac2 vref_3 43 3 ac3 ad2 init 44 4 ac5 ad4 - 45 4 ae4 af3 vref_4 46 4 ac7 ad6 - 47 4 ae5 ae6 vref_4 48 4 af6 ac9 2 - 49 4 ae8 af7 vref_4 50 4 ad9 ae9 - 51 4 af9 ac11 2 - 52 4 ad11 ae11 - 53 4 ac12 ad12 vref_4 54 4 ae12 af12 2 - table 11: bg352 differential pin pair summary xcv100e, xcv200e, xcv300e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 24 1-800-255-7778 production product specification bg432 ball grid array packages xcv300e, xcv400e, and xcv600e devices in bg432 ball grid array packages have footprint compatibility. pins labeled i0_vref can be used as either in all parts unless device-dependent as indicated in the footnotes. if the pin is not used as v ref , it can be used as general i/o. immedi- ately following table 12 , see ta ble 1 3 for differential pair information. 55 5 ac13 ad14 gclk lvds 1/0 56 5 ad15 ac15 vref_5 57 5 ae16 ae17 - 58 5 ac16 af18 2 - 59 5 ad17 ac17 - 60 5 ad18 ac18 vref_5 61 5 af20 ae20 1 - 62 5 ae21 ad20 vref_5 63 5 af23 ae22 - 64 5 ac21 ae23 vref_5 65 6 ad25 ac24 - 66 6 ac26 ad26 vref_6 67 6 ab25 aa24 - 68 6 y24 aa25 vref_6 69 6 w24 v23 2 - 70 6 u23 y26 vref_6 71 6 u24 v25 - 72 6 u25 t23 1 - 73 6 t26 t25 - 74 6 r25 r24 vref_6 75 6 p24 r26 2 - 76 7 n24 n25 - 77 7 m24 m25 2 - 78 7 l26 m23 vref_7 79 7 l24 k25 - 80 7 j25 j26 1 - 81 7 h25 k23 - 82 7 g26 j23 vref_7 83 7 h24 g25 1 - 84 7 d26 g24 vref_7 85 7 f24 e25 - 86 7 e24 d25 vref_7 notes: 1. ao in the xcv100e. 2. ao in the xcv200e. table 11: bg352 differential pin pair summary xcv100e, xcv200e, xcv300e pair bank p pin n pin ao other functions table 12: bg432 ? xcv300e, xcv400e, xcv600e bank pin description pin # 0gck3d17 0ioa22 0ioa26 0iob20 0ioc23 0ioc28 0 io_l0n_y b29 0 io_l0p_y d27 0 io_l1n_yy b28 0 io_l1p_yy c27 0 io_vref_l2n_yy d26 0 io_l2p_yy a28 0 io_l3n_y b27 0 io_l3p_y c26 0 io_l4n_yy d25 0 io_l4p_yy a27 0 io_vref_l5n_yy d24 0 io_l5p_yy c25 0 io_l6n_y b25 0 io_l6p_y d23 0 io_vref_l7n_y c24 1 0 io_l7p_y b24 0 io_vref_l8n_yy d22 0 io_l8p_yy a24 0 io_l9n_yy c22 0 io_l9p_yy b22 0 io_l10n_yy c21 0 io_l10p_yy d20 0 io_l11n_yy b21 0 io_l11p_yy c20
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 25 0 io_l12n_yy a20 0 io_l12p_yy d19 0 io_vref_l13n_yy b19 0 io_l13p_yy a19 0 io_l14n_y b18 0 io_l14p_y d18 0 io_vref_l15n_y c18 2 0 io_l15p_y b17 0 io_lvds_dll_l16n c17 1gck2a16 1ioa12 1iob9 1iob11 1ioc16 1iod9 1 io_lvds_dll_l16p b16 1 io_l17n_y a15 1 io_vref_l17p_y b15 2 1 io_l18n_y c15 1 io_l18p_y d15 1 io_l19n_yy b14 1 io_vref_l19p_yy a13 1 io_l20n_yy b13 1 io_l20p_yy d14 1 io_l21n_yy c13 1 io_l21p_yy b12 1 io_l22n_yy d13 1 io_l22p_yy c12 1 io_l23n_yy d12 1 io_l23p_yy c11 1 io_l24n_yy b10 1 io_vref_l24p_yy c10 1 io_l25n_y c9 1 io_vref_l25p_y d10 1 1 io_l26n_y a8 table 12: bg432 ? xcv300e, xcv400e, xcv600e bank pin description pin # 1 io_l26p_y b8 1 io_l27n_yy c8 1 io_vref_l27p_yy b7 1 io_l28n_yy d8 1 io_l28p_yy a6 1 io_l29n_y b6 1 io_l29p_y d7 1 io_l30n_yy a5 1 io_vref_l30p_yy c6 1 io_l31n_yy b5 1 io_l31p_yy d6 1 io_l32n_y a4 1 io_l32p_y c5 1 io_write_l33n_yy b4 1 io_cs_l33p_yy d5 2ioh4 2ioj3 2iol3 2iom1 2ior2 2 io_dout_busy_l34p_yy d3 2 io_din_d0_l34n_yy c2 2 io_l35p d2 2 io_l35n e4 2 io_l36p_y d1 2 io_l36n_y e3 2 io_vref_l37p_y e2 2 io_l37n_y f4 2 io_l38p e1 2 io_l38n f3 2 io_l39p_y f2 2 io_l39n_y g4 2 io_vref_l40p_yy g3 2 io_l40n_yy g2 2 io_l41p_y h3 table 12: bg432 ? xcv300e, xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 26 1-800-255-7778 production product specification 2 io_l41n_y h2 2 io_vref_l42p_y h1 1 2 io_l42n_y j4 2 io_vref_l43p_yy j2 2 io_d1_l43n_yy k4 2 io_d2_l44p_yy k2 2 io_l44n_yy k1 2 io_l45p_y l2 2 io_l45n_y m4 2 io_l46p_y m3 2 io_l46n_y m2 2 io_l47p_y n4 2 io_l47n_y n3 2 io_vref_l48p_yy n1 2 io_d3_l48n_yy p4 2 io_l49p_y p3 2 io_l49n_y p2 2 io_vref_l50p_y r3 2 2 io_l50n_y r4 2 io_l51p_yy r1 2 io_l51n_yy t3 3 io aa2 3ioac2 3 io ae2 3iou3 3iow1 3 io_l52p_y u4 3 io_vref_l52n_y u2 2 3 io_l53p_y u1 3 io_l53n_y v3 3 io_d4_l54p_yy v4 3 io_vref_l54n_yy v2 3 io_l55p_y w3 3 io_l55n_y w4 3 io_l56p_y y1 table 12: bg432 ? xcv300e, xcv400e, xcv600e bank pin description pin # 3 io_l56n_y y3 3 io_l57p_y y4 3 io_l57n_y y2 3 io_l58p_yy aa3 3 io_d5_l58n_yy ab1 3 io_d6_l59p_yy ab3 3 io_vref_l59n_yy ab4 3 io_l60p_y ad1 3 io_vref_l60n_y ac3 1 3 io_l61p_y ac4 3 io_l61n_y ad2 3 io_l62p_yy ad3 3 io_vref_l62n_yy ad4 3 io_l63p_y af2 3 io_l63n_y ae3 3 io_l64p ae4 3 io_l64n ag1 3 io_l65p_y ag2 3 io_vref_l65n_y af3 3 io_l66p_y af4 3 io_l66n_y ah1 3 io_l67p ah2 3 io_l67n ag3 3 io_d7_l68p_yy ag4 3 io_init_l68n_yy aj2 3iot2 4gck0al16 4ioah10 4ioaj11 4ioak7 4ioal12 4ioal15 4 io_l69p_yy aj4 4 io_l69n_yy ak3 4 io_l70p_y ah5 table 12: bg432 ? xcv300e, xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 27 4 io_l70n_y ak4 4 io_l71p_yy aj5 4 io_l71n_yy ah6 4 io_vref_l72p_yy al4 4 io_l72n_yy ak5 4 io_l73p_y aj6 4 io_l73n_y ah7 4 io_l74p_yy al5 4 io_l74n_yy ak6 4 io_vref_l75p_yy aj7 4 io_l75n_yy al6 4 io_l76p_y ah9 4 io_l76n_y aj8 4 io_vref_l77p_y ak8 1 4 io_l77n_y aj9 4 io_vref_l78p_yy al8 4 io_l78n_yy ak9 4 io_l79p_yy ak10 4 io_l79n_yy al10 4 io_l80p_yy ah12 4 io_l80n_yy ak11 4 io_l81p_yy aj12 4 io_l81n_yy ak12 4 io_l82p_yy ah13 4 io_l82n_yy aj13 4 io_vref_l83p_yy al13 4 io_l83n_yy ak14 4 io_l84p_y ah14 4 io_l84n_y aj14 4 io_vref_l85p_y ak15 2 4 io_l85n_y aj15 4 io_lvds_dll_l86p ah15 5gck1ak16 5ioah20 5ioaj19 table 12: bg432 ? xcv300e, xcv400e, xcv600e bank pin description pin # 5ioaj23 5ioaj24 5 io_lvds_dll_l86n al17 5 io_l87p_y ak17 5 io_vref_l87n_y aj17 2 5 io_l88p_y ah17 5 io_l88n_y ak18 5 io_l89p_yy al19 5 io_vref_l89n_yy aj18 5 io_l90p_yy ah18 5 io_l90n_yy al20 5 io_l91p_yy ak20 5 io_l91n_yy ah19 5 io_l92p_yy aj20 5 io_l92n_yy ak21 5 io_l93p_yy aj21 5 io_l93n_yy al22 5 io_l94p_yy aj22 5 io_vref_l94n_yy ak23 5 io_l95p_y ah22 5 io_vref_l95n_y al24 1 5 io_l96p_y ak24 5 io_l96n_y ah23 5 io_l97p_yy ak25 5 io_vref_l97n_yy aj25 5 io_l98p_yy al26 5 io_l98n_yy ak26 5 io_l99p_y ah25 5 io_l99n_y al27 5 io_l100p_yy aj26 5 io_vref_l100n_yy ak27 5 io_l101p_yy ah26 5 io_l101n_yy al28 5 io_l102p_y aj27 5 io_l102n_y ak28 table 12: bg432 ? xcv300e, xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 28 1-800-255-7778 production product specification 6ioaa30 6ioac30 6ioad29 6iou31 6iow28 6 io_l103n_yy aj30 6 io_l103p_yy ah30 6 io_l104n ag28 6 io_l104p ah31 6 io_l105n_y ag29 6 io_l105p_y ag30 6 io_vref_l106n_y af28 6 io_l106p_y ag31 6 io_l107n af29 6 io_l107p af30 6 io_l108n_y ae28 6 io_l108p_y af31 6 io_vref_l109n_yy ae30 6 io_l109p_yy ad28 6 io_l110n_y ad30 6 io_l110p_y ad31 6 io_vref_l111n_y ac28 1 6 io_l111p_y ac29 6 io_vref_l112n_yy ab28 6 io_l112p_yy ab29 6 io_l113n_yy ab31 6 io_l113p_yy aa29 6 io_l114n_y y28 6 io_l114p_y y29 6 io_l115n_y y30 6 io_l115p_y y31 6 io_l116n_y w29 6 io_l116p_y w30 6 io_vref_l117n_yy v28 6 io_l117p_yy v29 6 io_l118n_y v30 table 12: bg432 ? xcv300e, xcv400e, xcv600e bank pin description pin # 6 io_l118p_y u29 6 io_vref_l119n_y u28 2 6 io_l119p_y u30 6iot30 7ioc30 7ioh29 7ioh31 7iol29 7iom31 7ior28 7 io_l120n_yy t31 7 io_l120p_yy r29 7 io_l121n_y r30 7 io_vref_l121p_y r31 2 7 io_l122n_y p29 7 io_l122p_y p28 7 io_l123n_yy p30 7 io_vref_l123p_yy n30 7 io_l124n_y n28 7 io_l124p_y n31 7 io_l125n_y m29 7 io_l125p_y m28 7 io_l126n_y m30 7 io_l126p_y l30 7 io_l127n_yy k31 7 io_l127p_yy k30 7 io_l128n_yy k28 7 io_vref_l128p_yy j30 7 io_l129n_y j29 7 io_vref_l129p_y j28 1 7 io_l130n_y h30 7 io_l130p_y g30 7 io_l131n_yy h28 7 io_vref_l131p_yy f31 7 io_l132n_y g29 table 12: bg432 ? xcv300e, xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 29 7 io_l132p_y g28 7 io_l133n e31 7 io_l133p e30 7 io_l134n_y f29 7 io_vref_l134p_y f28 7 io_l135n_y d31 7 io_l135p_y d30 7 io_l136n e29 7 io_l136p e28 2 cclk d4 3doneah4 na dxn ah27 na dxp ak29 na m0 ah28 na m1 ah29 na m2 aj28 na program ah3 na tck d28 na tdi b3 2 tdo c4 na tms d29 na vccint a10 na vccint a17 na vccint b23 na vccint b26 na vccint c7 na vccint c14 na vccint c19 na vccint f1 na vccint f30 na vccint k3 na vccint k29 na vccint n2 na vccint n29 table 12: bg432 ? xcv300e, xcv400e, xcv600e bank pin description pin # na vccint t1 na vccint t29 na vccint w2 na vccint w31 na vccint ab2 na vccint ab30 na vccint ae29 na vccint af1 na vccint ah8 na vccint ah24 na vccint aj10 na vccint aj16 na vccint ak22 na vccint ak13 na vccint ak19 0 vcco a21 0 vcco c29 0 vcco d21 1 vcco a1 1 vcco a11 1 vcco d11 2 vcco c3 2 vcco l4 2 vcco l1 3 vcco aa1 3 vcco aa4 3 vcco aj3 4 vcco ah11 4 vcco al1 4 vcco al11 5 vcco ah21 5 vcco al21 5 vcco aj29 6 vcco aa28 6 vcco aa31 table 12: bg432 ? xcv300e, xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 30 1-800-255-7778 production product specification 6 vcco al31 7 vcco a31 7 vcco l28 7 vcco l31 na gnd a2 na gnd a3 na gnd a7 na gnd a9 na gnd a14 na gnd a18 na gnd a23 na gnd a25 na gnd a29 na gnd a30 na gnd b1 na gnd b2 na gnd b30 na gnd b31 na gnd c1 na gnd c31 na gnd d16 na gnd g1 na gnd g31 na gnd j1 na gnd j31 na gnd p1 na gnd p31 na gnd t4 na gnd t28 na gnd v1 na gnd v31 na gnd ac1 na gnd ac31 na gnd ae1 na gnd ae31 table 12: bg432 ? xcv300e, xcv400e, xcv600e bank pin description pin # na gnd ah16 na gnd aj1 na gnd aj31 na gnd ak1 na gnd ak2 na gnd ak30 na gnd ak31 na gnd al2 na gnd al3 na gnd al7 na gnd al9 na gnd al14 na gnd al18 na gnd al23 na gnd al25 na gnd al29 na gnd al30 notes: 1. v ref or i/o option only in the xcv600e; otherwise, i/o option only. 2. v ref or i/o option only in the xcv400e, xcv600e; otherwise, i/o option only. table 12: bg432 ? xcv300e, xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 31 bg432 differential pin pairs virtex-e devices have differential pin pairs that can also vir- tex-e devices have differential pin pairs that can also pro- vide other functions when not used as a differential pair. a in the ao column indicates that the pin pair can be used as an asynchronous output for all devices provided in this package. pairs with a note number in the ao column are device dependent. they can have asynchronous outputs if the pin pair are in the same clb row and column in the device. numbers in this column refer to footnotes that indi- cate which devices have pin pairs than can be asynchro- nous outputs. the other functions column indicates alternative function(s) not available when the pair is used as a differential pair or differential clock. table 13: bg432 differential pin pair summary xcv300e, xcv400e, xc600e pair bank p pin n pin ao other functions global differential clock 0 4 al16 ah15 na io_dll_l86p 1 5 ak16 al17 na io_dll_l86n 2 1 a16 b16 na io_dll_l16p 3 0 d17 c17 na io_dll_l16n io lvds total outputs: 137, asynchronous output pairs: 63 00d27b291 - 10c27b28 - 20a28d26 vref 30c26b272 - 40a27d25 - 50c25d24 vref 60d23b251 - 70b24c241 vref 80a24d22 vref 90b22c22 - 10 0 d20 c21 - 11 0 c20 b21 - 12 0 d19 a20 - 13 0 a19 b19 vref 14 0 d18 b18 1 - 15 0 b17 c18 1 vref 16 1 b16 c17 na io_lvds_dll 17 1 b15 a15 1 vref 18 1 d15 c15 1 - 19 1 a13 b14 vref 20 1 d14 b13 - 21 1 b12 c13 - 22 1 c12 d13 - 23 1 c11 d12 - 24 1 c10 b10 vref 25 1 d10 c9 1 vref 26 1 b8 a8 1 - 27 1 b7 c8 vref 28 1 a6 d8 - 29 1 d7 b6 2 - 30 1 c6 a5 vref 31 1 d6 b5 - 32 1 c5 a4 1 - 33 1 d5 b4 cs, write 34 2 d3 c2 din, d0, busy 35 2 d2 e4 3 - 36 2 d1 e3 4 - 37 2 e2 f4 1 vref 38 2 e1 f3 5 - 39 2 f2 g4 1 - 40 2 g3 g2 vref 41 2 h3 h2 4 - 42 2 h1 j4 1 vref 43 2 j2 k4 d1 44 2 k2 k1 d2 45 2 l2 m4 4 - 462 m3m21 - 47 2 n4 n3 1 - table 13: bg432 differential pin pair summary xcv300e, xcv400e, xc600e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 32 1-800-255-7778 production product specification 48 2 n1 p4 d3 49 2 p3 p2 4 - 50 2 r3 r4 1 vref 51 2 r1 t3 - 52 3 u4 u2 1 vref 53 3 u1 v3 4 - 54 3 v4 v2 vref 55 3 w3 w4 1 - 56 3 y1 y3 1 - 57 3 y4 y2 4 - 58 3 aa3 ab1 d5 59 3 ab3 ab4 vref 60 3 ad1 ac3 1 vref 61 3 ac4 ad2 4 - 62 3 ad3 ad4 vref 63 3 af2 ae3 1 - 64 3 ae4 ag1 5 - 65 3 ag2 af3 1 vref 66 3 af4 ah1 4 - 67 3 ah2 ag3 3 - 68 3 ag4 aj2 init 69 4 aj4 ak3 - 70 4 ah5 ak4 1 - 71 4 aj5 ah6 - 72 4 al4 ak5 vref 73 4 aj6 ah7 2 - 74 4 al5 ak6 - 75 4 aj7 al6 vref 76 4 ah9 aj8 1 - 77 4 ak8 aj9 1 vref 78 4 al8 ak9 vref 79 4 ak10 al10 - table 13: bg432 differential pin pair summary xcv300e, xcv400e, xc600e pair bank p pin n pin ao other functions 80 4 ah12 ak11 - 81 4 aj12 ak12 - 82 4 ah13 aj13 - 83 4 al13 ak14 vref 84 4 ah14 aj14 1 - 85 4 ak15 aj15 1 vref 86 5 ah15 al17 na io_lvds_dll 87 5 ak17 aj17 1 vref 88 5 ah17 ak18 1 - 89 5 al19 aj18 vref 90 5 ah18 al20 - 91 5 ak20 ah19 - 92 5 aj20 ak21 - 93 5 aj21 al22 - 94 5 aj22 ak23 vref 95 5 ah22 al24 1 vref 96 5 ak24 ah23 1 - 97 5 ak25 aj25 vref 98 5 al26 ak26 - 99 5 ah25 al27 2 - 100 5 aj26 ak27 vref 101 5 ah26 al28 - 102 5 aj27 ak28 1 - 103 6 ah30 aj30 - 104 6 ah31 ag28 3 - 105 6 ag30 ag29 4 - 106 6 ag31 af28 1 vref 107 6 af30 af29 5 - 108 6 af31 ae28 1 - 109 6 ad28 ae30 vref 110 6 ad31 ad30 4 - 111 6 ac29 ac28 1 vref table 13: bg432 differential pin pair summary xcv300e, xcv400e, xc600e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 33 bg560 ball grid array packages xcv1000e, xcv1600e, and xcv2000e devices in bg560 ball grid array packages have footprint compatibility. pins labeled i0_vref can be used as either in all parts unless device-dependent as indicated in the footnotes. if the pin is not used as v ref , it can be used as general i/o. immedi- ately following table 14 , see ta ble 1 5 for differential pair information. 112 6 ab29 ab28 vref 113 6 aa29 ab31 - 114 6 y29 y28 4 - 115 6 y31 y30 1 - 116 6 w30 w29 1 - 117 6 v29 v28 vref 118 6 u29 v30 4 - 119 6 u30 u28 1 vref 120 7 r29 t31 - 121 7 r31 r30 1 vref 122 7 p28 p29 4 - 123 7 n30 p30 vref 124 7 n31 n28 1 - 125 7 m28 m29 1 - 126 7 l30 m30 4 - 127 7 k30 k31 - 128 7 j30 k28 vref 129 7 j28 j29 1 vref 1307 g30h30 4 - 131 7 f31 h28 vref 132 7 g28 g29 1 - 133 7 e30 e31 5 - 134 7 f28 f29 1 vref 135 7 d30 d31 4 - 136 7 e28 e29 3 - notes: 1. ao in the xcv300e, 600e. 2. ao in the xcv300e. 3. ao in the xcv400e, 600e. 4. ao in the xcv300e, 400e. 5. ao in the xcv600e. table 13: bg432 differential pin pair summary xcv300e, xcv400e, xc600e pair bank p pin n pin ao other functions table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note 0gck3a17 0ioa27 0iob25 0ioc28 0ioc30 0iod30 0 io_l0n e28 0 io_vref_l0p d29 3 0 io_l1n_yy d28 0 io_l1p_yy a31 0 io_vref_l2n_yy e27 0 io_l2p_yy c29 0 io_l3n_y b30 0 io_l3p_y d27 0 io_l4n_yy e26 0 io_l4p_yy b29 0 io_vref_l5n_yy d26 0 io_l5p_yy c27 0 io_l6n_y e25 0 io_vref_l6p_y a28 1 0 io_l7n_y d25 0 io_l7p_y c26 0 io_vref_l8n_y e24 4 0 io_l8p_y b26 0 io_l9n_y c25 0 io_l9p_y d24 0 io_vref_l10n_yy e23 0 io_l10p_yy a25 0 io_l11n_yy d23
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 34 1-800-255-7778 production product specification 0 io_l11p_yy b24 0 io_l12n_y e22 0 io_l12p_y c23 0 io_l13n_yy a23 0 io_l13p_yy d22 0 io_vref_l14n_yy e21 3 0 io_l14p_yy b22 0 io_l15n_y d21 0 io_l15p_y c21 0 io_l16n_yy b21 0 io_l16p_yy e20 0 io_vref_l17n_yy d20 0 io_l17p_yy c20 0 io_l18n_y b20 0 io_l18p_y e19 0 io_l19n_y d19 0 io_l19p_y c19 0 io_vref_l20n_y a19 0 io_l20p_y d18 0 io_lvds_dll_l21n c18 0 io_vref e18 2 1gck2d17 1ioa3 1iod9 1ioe8 1ioe11 1 io_lvds_dll_l21p e17 1 io_vref_l22n_y c17 2 1 io_l22p_y b17 1 io_l23n_y b16 1 io_vref_l23p_y d16 1 io_l24n_y e16 1 io_l24p_y c16 1 io_l25n_y a15 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note 1 io_l25p_y c15 1 io_l26n_yy d15 1 io_vref_l26p_yy e15 1 io_l27n_yy c14 1 io_l27p_yy d14 1 io_l28n_y a13 1 io_l28p_y e14 1 io_l29n_yy c13 1 io_vref_l29p_yy d13 3 1 io_l30n_yy c12 1 io_l30p_yy e13 1 io_l31n_y a11 1 io_l31p_y d12 1 io_l32n_yy b11 1 io_l32p_yy c11 1 io_l33n_yy b10 1 io_vref_l33p_yy d11 1 io_l34n_y c10 1 io_l34p_y a9 1 io_l35n_y c9 1 io_vref_l35p_y d10 4 1 io_l36n_y a8 1 io_l36p_y b8 1 io_l37n_y e10 1 io_vref_l37p_y c8 1 1 io_l38n_yy b7 1 io_vref_l38p_yy a6 1 io_l39n_yy c7 1 io_l39p_yy d8 1 io_l40n_y a5 1 io_l40p_y b5 1 io_l41n_yy c6 1 io_vref_l41p_yy d7 1 io_l42n_yy a4 1 io_l42p_yy b4 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 35 1 io_l43n_y c5 1 io_vref_l43p_y e7 3 1 io_write_l44n_yy d6 1 io_cs_l44p_yy a2 2iod3 2iof3 2iog1 2io j2 2 io_dout_busy_l45p_yy d4 2 io_din_d0_l45n_yy e4 2 io_l46p_y f5 2 io_vref_l46n_y b3 3 2 io_l47p_y f4 2 io_l47n_y c1 2 io_vref_l48p_y g5 2 io_l48n_y e3 2 io_l49p_y d2 2 io_l49n_y g4 2 io_l50p_y h5 2 io_l50n_y e2 2 io_vref_l51p_yy h4 2 io_l51n_yy g3 2 io_l52p_y j5 2 io_vref_l52n_y f1 1 2 io_l53p_y j4 2 io_l53n_y h3 2 io_vref_l54p_y k5 4 2 io_l54n_y h2 2 io_l55p_y j3 2 io_l55n_y k4 2 io_vref_l56p_yy l5 2 io_d1_l56n_yy k3 2 io_d2_l57p_yy l4 2 io_l57n_yy k2 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note 2 io_l58p_y m5 2 io_l58n_y l3 2 io_l59p_y l1 2 io_l59n_y m4 2 io_vref_l60p_y n5 3 2 io_l60n_y m2 2 io_l61p_y n4 2 io_l61n_y n3 2 io_l62p_y n2 2 io_l62n_y p5 2 io_vref_l63p_yy p4 2 io_d3_l63n_yy p3 2 io_l64p_y p2 2 io_l64n_y r5 2 io_l65p_y r4 2 io_l65n_y r3 2 io_vref_l66p_y r1 2 io_l66n_y t4 2 io_l67p_y t5 2 io_vref_l67n_y t3 2 2 io_l68p_yy t2 2 io_l68n_yy u3 3ioae3 3ioaf3 3ioah3 3ioak3 3 io_vref_l69p_y u1 2 3 io_l69n_y u2 3 io_l70p_y v2 3 io_vref_l70n_y v4 3 io_l71p_y v5 3 io_l71n_y v3 3 io_l72p_y w1 3 io_l72n_y w3 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 36 1-800-255-7778 production product specification 3 io_d4_l73p_yy w4 3 io_vref_l73n_yy w5 3 io_l74p_y y3 3 io_l74n_y y4 3 io_l75p_y aa1 3 io_l75n_y y5 3 io_l76p_y aa3 3 io_vref_l76n_y aa4 3 3 io_l77p_y ab3 3 io_l77n_y aa5 3 io_l78p_y ac1 3 io_l78n_y ab4 3 io_l79p_yy ac3 3 io_d5_l79n_yy ab5 3 io_d6_l80p_yy ac4 3 io_vref_l80n_yy ad3 3 io_l81p_y ae1 3 io_l81n_y ac5 3 io_l82p_y ad4 3 io_vref_l82n_y af1 4 3 io_l83p_y af2 3 io_l83n_y ad5 3 io_l84p_y ag2 3 io_vref_l84n_y ae4 1 3 io_l85p_yy ah1 3 io_vref_l85n_yy ae5 3 io_l86p_y af4 3 io_l86n_y aj1 3 io_l87p_y aj2 3 io_l87n_y af5 3 io_l88p_y ag4 3 io_vref_l88n_y ak2 3 io_l89p_y aj3 3 io_l89n_y ag5 3 io_l90p_y al1 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note 3 io_vref_l90n_y ah4 3 3 io_d7_l91p_yy aj4 3 io_init_l91n_yy ah5 3iou4 4gck0al17 4ioaj8 4ioaj11 4ioak6 4ioak9 4 io_l92p_yy al4 4 io_l92n_yy aj6 4 io_l93p_y ak5 4 io_vref_l93n_y an3 3 4 io_l94p_yy al5 4 io_l94n_yy aj7 4 io_vref_l95p_yy am4 4 io_l95n_yy am5 4 io_l96p_y ak7 4 io_l96n_y al6 4 io_l97p_yy am6 4 io_l97n_yy an6 4 io_vref_l98p_yy al7 4 io_l98n_yy aj9 4 io_l99p_y an7 4 io_vref_l99n_y al8 1 4 io_l100p_y am8 4 io_l100n_y aj10 4 io_vref_l101p_y al9 4 4 io_l101n_y am9 4 io_l102p_y ak10 4 io_l102n_y an9 4 io_vref_l103p_yy al10 4 io_l103n_yy am10 4 io_l104p_yy al11 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 37 4 io_l104n_yy aj12 4 io_l105p_y an11 4 io_l105n_y ak12 4 io_l106p_yy al12 4 io_l106n_yy am12 4 io_vref_l107p_yy ak13 3 4 io_l107n_yy al13 4 io_l108p_y am13 4 io_l108n_y an13 4 io_l109p_yy aj14 4 io_l109n_yy ak14 4 io_vref_l110p_yy am14 4 io_l110n_yy an15 4 io_l111p_y aj15 4 io_l111n_y ak15 4 io_l112p_y al15 4 io_l112n_y am16 4 io_vref_l113p_y al16 4 io_l113n_y aj16 4 io_l114p_y ak16 4 io_vref_l114n_y an17 2 4 io_lvds_dll_l115p am17 5gck1aj17 5 io al25 5 io al28 5 io al30 5ioan28 5 io_lvds_dll_l115n am18 5 io_vref al18 2 5 io_l116p_y ak18 5 io_vref_l116n_y aj18 5 io_l117p_y an19 5 io_l117n_y al19 5 io_l118p_y ak19 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note 5 io_l118n_y am20 5 io_l119p_yy aj19 5 io_vref_l119n_yy al20 5 io_l120p_yy an21 5 io_l120n_yy al21 5 io_l121p_y aj20 5 io_l121n_y am22 5 io_l122p_yy ak21 5 io_vref_l122n_yy an23 3 5 io_l123p_yy aj21 5 io_l123n_yy am23 5 io_l124p_y ak22 5 io_l124n_y am24 5 io_l125p_yy al23 5 io_l125n_yy aj22 5 io_l126p_yy ak23 5 io_vref_l126n_yy al24 5 io_l127p_y an26 5 io_l127n_y aj23 5 io_l128p_y ak24 5 io_vref_l128n_y am26 4 5 io_l129p_y am27 5 io_l129n_y aj24 5 io_l130p_y al26 5 io_vref_l130n_y ak25 1 5 io_l131p_yy an29 5 io_vref_l131n_yy aj25 5 io_l132p_yy ak26 5 io_l132n_yy am29 5 io_l133p_y am30 5 io_l133n_y aj26 5 io_l134p_yy ak27 5 io_vref_l134n_yy al29 5 io_l135p_yy an31 5 io_l135n_yy aj27 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 38 1-800-255-7778 production product specification 5 io_l136p_y am31 5 io_vref_l136n_y ak28 3 6ioae33 6ioaf31 6ioaj32 6 io al33 6 io_l137n_yy ah29 6 io_l137p_yy aj30 6 io_l138n_y ak31 6 io_vref_l138p_y ah30 3 6 io_l139n_y ag29 6 io_l139p_y aj31 6 io_vref_l140n_y ak32 6 io_l140p_y ag30 6 io_l141n_y ah31 6 io_l141p_y af29 6 io_l142n_y ah32 6 io_l142p_y af30 6 io_vref_l143n_yy ae29 6 io_l143p_yy ah33 6 io_l144n_y ag33 6 io_vref_l144p_y ae30 1 6 io_l145n_y ad29 6 io_l145p_y af32 6 io_vref_l146n_y ae31 4 6 io_l146p_y ad30 6 io_l147n_y ae32 6 io_l147p_y ac29 6 io_vref_l148n_yy ad31 6 io_l148p_yy ac30 6 io_l149n_yy ab29 6 io_l149p_yy ac31 6 io_l150n_y ac33 6 io_l150p_y ab30 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note 6 io_l151n_y ab31 6 io_l151p_y aa29 6 io_vref_l152n_y aa30 3 6 io_l152p_y aa31 6 io_l153n_y aa32 6 io_l153p_y y29 6 io_l154n_y aa33 6 io_l154p_y y30 6 io_vref_l155n_yy y32 6 io_l155p_yy w29 6 io_l156n_y w30 6 io_l156p_y w31 6 io_l157n_y w33 6 io_l157p_y v30 6 io_vref_l158n_y v29 6 io_l158p_y v31 6 io_l159n_y v32 6 io_vref_l159p_y u33 2 6iou29 7ioe30 7iof29 7iof33 7iog30 7iok30 7 io_l160n_yy u31 7 io_l160p_yy u32 7 io_vref_l161n_y t32 2 7 io_l161p_y t30 7 io_l162n_y t29 7 io_vref_l162p_y t31 7 io_l163n_y r33 7 io_l163p_y r31 7 io_l164n_y r30 7 io_l164p_y r29 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 39 7 io_l165n_yy p32 7 io_vref_l165p_yy p31 7 io_l166n_y p30 7 io_l166p_y p29 7 io_l167n_y m32 7 io_l167p_y n31 7 io_l168n_y n30 7 io_vref_l168p_y l33 3 7 io_l169n_y m31 7 io_l169p_y l32 7 io_l170n_y m30 7 io_l170p_y l31 7 io_l171n_yy m29 7 io_l171p_yy j33 7 io_l172n_yy l30 7 io_vref_l172p_yy k31 7 io_l173n_y l29 7 io_l173p_y h33 7 io_l174n_y j31 7 io_vref_l174p_y h32 4 7 io_l175n_y k29 7 io_l175p_y h31 7 io_l176n_y j30 7 io_vref_l176p_y g32 1 7 io_l177n_yy j29 7 io_vref_l177p_yy g31 7 io_l178n_y e33 7 io_l178p_y e32 7 io_l179n_y h29 7 io_l179p_y f31 7 io_l180n_y d32 7 io_vref_l180p_y e31 7 io_l181n_y g29 7 io_l181p_y c33 7 io_l182n_y f30 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note 7 io_vref_l182p_y d31 3 2cclkc4 3doneaj5 na dxn ak29 na dxp aj28 na m0 aj29 na m1 ak30 na m2 an32 na program am1 na tck e29 na tdi d5 2tdoe6 na tms b33 na nc c31 na nc ac2 na nc ak4 na nc al3 na vccint a21 na vccint b12 na vccint b14 na vccint b18 na vccint b28 na vccint c22 na vccint c24 na vccint e9 na vccint e12 na vccint f2 na vccint h30 na vccint j1 na vccint k32 na vccint m3 na vccint n1 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 40 1-800-255-7778 production product specification na vccint n29 na vccint n33 na vccint u5 na vccint u30 na vccint y2 na vccint y31 na vccint ab2 na vccint ab32 na vccint ad2 na vccint ad32 na vccint ag3 na vccint ag31 na vccint aj13 na vccint ak8 na vccint ak11 na vccint ak17 na vccint ak20 na vccint al14 na vccint al22 na vccint al27 na vccint an25 0 vcco a22 0 vcco a26 0 vcco a30 0 vcco b19 0 vcco b32 1 vcco a10 1 vcco a16 1 vcco b13 1 vcco c3 1 vcco e5 2 vcco b2 2 vcco d1 2 vcco h1 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note 2 vcco m1 2 vcco r2 3 vcco v1 3 vcco aa2 3 vcco ad1 3 vcco ak1 3 vcco al2 4 vcco an4 4 vcco an8 4 vcco an12 4 vcco am2 4 vcco am15 5 vcco al31 5 vcco am21 5 vcco an18 5 vcco an24 5 vcco an30 6 vcco w32 6 vcco ab33 6 vcco af33 6 vcco ak33 6 vcco am32 7 vcco c32 7 vcco d33 7 vcco k33 7 vcco n32 7 vcco t33 na gnd a1 na gnd a7 na gnd a12 na gnd a14 na gnd a18 na gnd a20 na gnd a24 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 41 na gnd a29 na gnd a32 na gnd a33 na gnd b1 na gnd b6 na gnd b9 na gnd b15 na gnd b23 na gnd b27 na gnd b31 na gnd c2 na gnd e1 na gnd f32 na gnd g2 na gnd g33 na gnd j32 na gnd k1 na gnd l2 na gnd m33 na gnd p1 na gnd p33 na gnd r32 na gnd t1 na gnd v33 na gnd w2 na gnd y1 na gnd y33 na gnd ab1 na gnd ac32 na gnd ad33 na gnd ae2 na gnd ag1 na gnd ag32 na gnd ah2 na gnd aj33 table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note na gnd al32 na gnd am3 na gnd am7 na gnd am11 na gnd am19 na gnd am25 na gnd am28 na gnd am33 na gnd an1 na gnd an2 na gnd an5 na gnd an10 na gnd an14 na gnd an16 na gnd an20 na gnd an22 na gnd an27 na gnd an33 notes: 1. v ref or i/o option only in the xcv2000e; otherwise, i/o option only. 2. v ref or i/o option only in the xcv1600e & 2000e; otherwise, i/o option only. 3. v ref or i/o option only in the xcv1000e, 1600e, & 2000e; otherwise, i/o option only. 4. v ref or i/o option only in the xcv600e, 1000e, 1600e, & 2000e; otherwise, i/o option only. table 14: bg560 ? xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin# see note
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 42 1-800-255-7778 production product specification bg560 differential pin pairs virtex-e devices have differential pin pairs that can also pro- vide other functions when not used as a differential pair. a in the ao column indicates that the pin pair can be used as an asynchronous output for all devices provided in this package. pairs with a note number in the ao column are device dependent. they can have asynchronous outputs if the pin pair are in the same clb row and column in the device. numbers in this column refer to footnotes that indi- cate which devices have pin pairs than can be asynchro- nous outputs. the other functions column indicates alternative function(s) not available when the pair is used as a differential pair or differential clock. table 15: bg560 differential pin pair summary xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions global differential clock 0 4 al17 am17 na io_dll_l15p 1 5 aj17 am18 na io_dll_l15n 2 1 d17 e17 na io_dll_l21p 3 0 a17 c18 na io_dll_l21n io lvds total outputs: 183, asynchronous outputs: 87 0 0 d29 e28 8 vref 10a31d28 - 20c29e27 vref 30d27b303 - 40b29e26 - 50c27d26 vref 60a28e259 vref 70c26d257 - 80b26e247 vref 90d24c252 - 10 0 a25 e23 vref 11 0 b24 d23 - 12 0 c23 e22 8 - 13 0 d22 a23 - 14 0 b22 e21 vref 15 0 c21 d21 3 - 16 0 e20 b21 - 17 0 c20 d20 vref 18 0 e19 b20 9 - 19 0 c19 d19 7 - 20 0 d18 a19 7 vref 21 1 e17 c18 na io_lvds_dll 22 1 b17 c17 2 vref 23 1 d16 b16 7 vref 24 1 c16 e16 7 - 25 1 c15 a15 9 - 26 1 e15 d15 vref 27 1 d14 c14 - 28 1 e14 a13 3 - 29 1 d13 c13 vref 30 1 e13 c12 - 31 1 d12 a11 8 - 32 1 c11 b11 - 33 1 d11 b10 vref 34 1 a9 c10 10 - 35 1 d10 c9 7 vref 36 1 b8 a8 7 - 37 1 c8 e10 5 vref 38 1 a6 b7 vref 39 1 d8 c7 - 40 1 b5 a5 11 - 41 1 d7 c6 vref 42 1 b4 a4 - 43 1 e7 c5 12 vref 44 1 a2 d6 cs 45 2 d4 e4 din, d0 46 2 f5 b3 17 vref table 15: bg560 differential pin pair summary xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 43 47 2 f4 c1 14 - 48 2 g5 e3 15 vref 49 2 d2 g4 16 - 50 2 h5 e2 15 - 51 2 h4 g3 vref 52 2 j5 f1 17 vref 53 2 j4 h3 14 - 54 2 k5 h2 18 vref 55 2 j3 k4 19 - 56 2 l5 k3 d1 57 2 l4 k2 d2 58 2 m5 l3 17 - 59 2 l1 m4 14 - 60 2 n5 m2 15 vref 61 2 n4 n3 16 - 62 2 n2 p5 15 - 63 2 p4 p3 d3 64 2 p2 r5 17 - 65 2 r4 r3 14 - 66 2 r1 t4 18 vref 67 2 t5 t3 19 vref 68 2 t2 u3 - 69 3 u1 u2 19 vref 70 3 v2 v4 18 vref 71 3 v5 v3 14 - 72 3 w1 w3 17 - 73 3 w4 w5 vref 74 3 y3 y4 15 - 75 3 aa1 y5 16 - 76 3 aa3 aa4 15 vref 77 3 ab3 aa5 14 - table 15: bg560 differential pin pair summary xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions 78 3 ac1 ab4 17 - 79 3 ac3 ab5 d5 80 3 ac4 ad3 vref 81 3 ae1 ac5 4 - 82 3 ad4 af1 18 vref 83 3 af2 ad5 14 - 84 3 ag2 ae4 20 vref 85 3 ah1 ae5 vref 86 3 af4 aj1 15 - 87 3 aj2 af5 14 - 88 3 ag4 ak2 15 vref 89 3 aj3 ag5 14 - 90 3 al1 ah4 14 vref 91 3 aj4 ah5 init 92 4 al4 aj6 - 93 4 ak5 an3 8 vref 94 4 al5 aj7 - 95 4 am4 am5 vref 96 4 ak7 al6 3 - 97 4 am6 an6 - 98 4 al7 aj9 vref 99 4 an7 al8 9 vref 100 4 am8 aj10 7 - 101 4 al9 am9 7 vref 1024ak10an92 - 103 4 al10 am10 vref 104 4 al11 aj12 - 105 4 an11 ak12 8 - 106 4 al12 am12 - 107 4 ak13 al13 vref 108 4 am13 an13 3 - table 15: bg560 differential pin pair summary xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 44 1-800-255-7778 production product specification 109 4 aj14 ak14 - 110 4 am14 an15 vref 111 4 aj15 ak15 1 - 112 4 al15 am16 7 - 113 4 al16 aj16 7 vref 114 4 ak16 an17 2 vref 115 5 am17 am18 na io_lvds_dll 116 5 ak18 aj18 7 vref 117 5 an19 al19 7 - 118 5 ak19 am20 9 - 119 5 aj19 al20 vref 120 5 an21 al21 - 121 5 aj20 am22 3 - 122 5 ak21 an23 vref 123 5 aj21 am23 - 124 5 ak22 am24 8 - 125 5 al23 aj22 - 126 5 ak23 al24 vref 127 5 an26 aj23 13 - 128 5 ak24 am26 7 vref 129 5 am27 aj24 7 - 130 5 al26 ak25 5 vref 131 5 an29 aj25 vref 132 5 ak26 am29 - 133 5 am30 aj26 11 - 134 5 ak27 al29 vref 135 5 an31 aj27 - 136 5 am31 ak28 12 vref 137 6 aj30 ah29 - 138 6 ah30 ak31 17 vref 139 6 aj31 ag29 14 - table 15: bg560 differential pin pair summary xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions 140 6 ag30 ak32 15 vref 141 6 af29 ah31 16 - 142 6 af30 ah32 15 - 143 6 ah33 ae29 vref 1446ae30ag3317 vref 145 6 af32 ad29 14 - 146 6 ad30 ae31 18 vref 147 6 ac29 ae32 19 - 148 6 ac30 ad31 vref 149 6 ac31 ab29 - 1506ab30ac3317 - 151 6 aa29 ab31 14 - 152 6 aa31 aa30 15 vref 153 6 y29 aa32 16 - 154 6 y30 aa33 15 - 155 6 w29 y32 vref 156 6 w31 w30 17 - 157 6 v30 w33 14 - 158 6 v31 v29 18 vref 159 6 u33 v32 19 vref 160 7 u32 u31 - 161 7 t30 t32 19 vref 162 7 t31 t29 18 vref 163 7 r31 r33 14 - 164 7 r29 r30 17 - 165 7 p31 p32 vref 166 7 p29 p30 15 - 167 7 n31 m32 16 - 168 7 l33 n30 15 vref 169 7 l32 m31 14 - 170 7 l31 m30 17 - table 15: bg560 differential pin pair summary xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 45 fg256 fine-pitch ball grid array packages XCV50E, xcv100e, xcv200e, and xcv300e devices in fg256 fine-pitch ball grid array packages have footprint compatibility. pins labeled i0_vref can be used as either in all parts unless device-dependent as indicated in the foot- notes. if the pin is not used as v ref , it can be used as gen- eral i/o. immediately following table 16 , see table 17 for differential pair information. 171 7 j33 m29 - 172 7 k31 l30 vref 173 7 h33 l29 4 - 174 7 h32 j31 18 vref 175 7 h31 k29 14 - 176 7 g32 j30 20 vref 177 7 g31 j29 vref 178 7 e32 e33 15 - 179 7 f31 h29 14 - 180 7 e31 d32 15 vref 181 7 c33 g29 14 - 182 7 d31 f30 14 vref notes: 1. ao in the xcv1600e. 2. ao in the xcv2000e. 3. ao in the xcv1600e, 2000e. 4. ao in the xcv1000e, 1600e. 5. ao in the xcv1000e, 2000e. 6. ao in the xcv1000e. 7. ao in the xcv1000e, 1600e, 2000e. 8. ao in the xcv600e, 1600e. 9. ao in the xcv400e, 600e, 1600e. 10. ao in the xcv400e, 600e, 1000e, 2000e. 11. ao in the xcv400e, 600e, 1000e. 12. ao in the xcv400e, 1000e, 2000e. 13. ao in the xcv400e, 600e, 1000e, 1600e. 14. ao in the xcv400e, 1000e, 1600e. 15. ao in the xcv600e, 1000e, 2000e. 16. ao in the xcv600e, 2000e. 17. ao in the xcv400e, 600e, 1600e, 2000e. 18. ao in the xcv600e, 1000e, 1600e, 2000e. 19. ao in the xcv400e, 600e, 2000e. 20. ao in the xcv400e, 1000e. table 15: bg560 differential pin pair summary xcv400e, xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions table 16: fg256 package ? XCV50E, xcv100e, xcv200e, xcv300e bank pin description pin # 0gck3 b8 0io b3 0io e7 0io d8 0io_l0n_y c5 0 io_vref_l0p_y a3 2 0 io_l1n_yy d5 0 io_l1p_yy e6 0 io_vref_l2n_yy b4 0 io_l2p_yy a4 0io_l3n_y d6 0 io_l3p_y b5 0 io_vref_l4n_yy c6 1 0 io_l4p_yy a5 0 io_l5n_yy b6 0 io_l5p_yy c7 0io_l6n_y d7 0 io_l6p_y c8 0 io_vref_l7n_y b7 0 io_l7p_y a6 0 io_lvds_dll_l8n a7 1gck2 c9 1iob10 1 io_lvds_dll_l8p a8 1io_l9n_y d9 1 io_l9p_y a9 1 io_l10n_y e10 1 io_vref_l10p_y b9
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 46 1-800-255-7778 production product specification 1 io_l11n_y a10 1 io_l11p_y d10 1 io_l12n_yy c10 1 io_l12p_yy a11 1 io_l13n_yy b11 1 io_vref_l13p_yy e11 1 1 io_l14n_y a12 1 io_l14p_y d11 1 io_l15n_yy a13 1 io_vref_l15p_yy c11 1 io_l16n_yy b12 1 io_l16p_yy d12 1 io_vref_l17n_y a14 2 1 io_l17p_y c12 1 io_write_l18n_yy c13 1 io_cs_l18p_yy b13 2 io_dout_busy_l19p_yy c15 2 io_din_d0_l19n_yy d14 2 io_l20p b16 2 io_vref_l20n e13 2 2 io_l21p_yy c16 2 io_l21n_yy e14 2 io_vref_l22p_y f13 2 io_l22n_y e15 2 io_l23p f12 2 io_l23n d16 2 io_vref_l24p_y f14 1 2 io_d1_l24n_y e16 2 io_d2_l25p_yy f15 2 io_l25n_yy g13 2 io_l26p f16 2 io_l26n g12 2 io_l27p_yy g15 2 io_l27n_yy g14 table 16: fg256 package ? XCV50E, xcv100e, xcv200e, xcv300e bank pin description pin # 2 io_vref_l28p_y h13 2 io_d3_l28n_y g16 2 io_l29p j13 2 io_l29n h15 2 io_l30p_yy h14 2 io_l30n_yy h16 3ioj15 3 io_l31p k15 3 io_l31n j14 3 io_d4_l32p_y j16 3 io_vref_l32n_y k16 3 io_l33p_yy k12 3 io_l33n_yy l15 3 io_l34p k13 3 io_l34n l16 3 io_l35p_yy k14 3 io_d5_l35n_yy m16 3 io_d6_l36p_y n16 3 io_vref_l36n_y l13 1 3 io_l37p p16 3 io_l37n l12 3 io_l38p_y m15 3 io_vref_l38n_y l14 3 io_l39p_yy m14 3 io_l39n_yy r16 3 io_vref_l40p m13 2 3 io_l40n t15 3 io_d7_l41p_yy n14 3 io_init_l41n_yy n15 4gck0 n8 4iop10 4 io_l42p_yy t14 4 io_l42n_yy p13 table 16: fg256 package ? XCV50E, xcv100e, xcv200e, xcv300e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 47 4 io_l43p_y p12 4 io_vref_l43n_y r13 2 4 io_l44p_yy n12 4 io_l44n_yy t13 4 io_vref_l45p_yy t12 4 io_l45n_yy p11 4 io_l46p_y r12 4 io_l46n_y n11 4 io_vref_l47p_yy t11 1 4 io_l47n_yy m11 4 io_l48p_yy r11 4 io_l48n_yy t10 4 io_l49p_y r10 4 io_l49n_y m10 4 io_vref_l50p_y p9 4 io_l50n_y t9 4 io_l51p_y n10 4 io_l51n_y r9 4 io_lvds_dll_l52p n9 5gck1 r8 5io n7 5io t7 5 io_lvds_dll_l52n t8 5 io_l53p_y r7 5 io_vref_l53n_y p8 5 io_l54p_y p7 5 io_l54n_y t6 5 io_l55p_yy m7 5 io_l55n_yy r6 5 io_l56p_yy p6 5 io_vref_l56n_yy r5 1 5 io_l57p_y n6 5 io_l57n_y t5 5 io_l58p_yy m6 table 16: fg256 package ? XCV50E, xcv100e, xcv200e, xcv300e bank pin description pin # 5 io_vref_l58n_yy t4 5 io_l59p_yy t3 5 io_l59n_yy p5 5 io_vref_l60p_y t2 2 5 io_l60n_y n5 6 io_l61n_yy m3 6 io_l61p_yy r1 6 io_l62n m4 6 io_vref_l62p n2 2 6 io_l63n_yy l5 6 io_l63p_yy p1 6 io_vref_l64n_y n1 6 io_l64p_y l3 6 io_l65n m2 6 io_l65p l4 6 io_vref_l66n_y m1 1 6 io_l66p_y k4 6 io_l67n_yy l2 6 io_l67p_yy l1 6 io_l68n k3 6 io_l68p k1 6 io_l69n_yy k2 6 io_l69p_yy k5 6 io_vref_l70n_y j3 6 io_l70p_y j1 6 io_l71n j4 6 io_l71p h1 6io j2 7io c2 7 io_l72n_yy g1 7 io_l72p_yy h4 7 io_l73n g5 7 io_l73p h2 table 16: fg256 package ? XCV50E, xcv100e, xcv200e, xcv300e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 48 1-800-255-7778 production product specification 7 io_l74n_y g4 7 io_vref_l74p_y h3 7 io_l75n_yy g2 7 io_l75p_yy f5 7 io_l76n f4 7 io_l76p f1 7 io_l77n_yy g3 7 io_l77p_yy f2 7 io_l78n_y e1 7 io_vref_l78p_y d1 1 7 io_l79n e4 7 io_l79p e2 7 io_l80n_y f3 7 io_vref_l80p_y c1 7 io_l81n_yy d2 7 io_l81p_yy e3 7 io_vref_l82n b1 2 7 io_l82p a2 2cclkd15 3doner14 na dxn r4 na dxp p4 na m0 n3 na m1 p2 na m2 r3 na program p15 na tck c4 na tdi a15 2tdob14 na tms d3 na vccint c3 na vccint c14 na vccint d4 table 16: fg256 package ? XCV50E, xcv100e, xcv200e, xcv300e bank pin description pin # na vccint d13 na vccint e5 na vccint e12 na vccint m5 na vccint m12 na vccint n4 na vccint n13 na vccint p3 na vccint p14 0 vcco f8 0 vcco e8 1 vcco f9 1 vcco e9 2 vcco h12 2 vcco h11 3 vcco j12 3 vcco j11 4 vcco m9 4 vcco l9 5 vcco m8 5 vcco l8 6 vcco j6 6 vcco j5 7 vcco h6 7 vcco h5 na gnd t16 na gnd t1 na gnd r15 na gnd r2 na gnd l11 na gnd l10 na gnd l7 na gnd l6 table 16: fg256 package ? XCV50E, xcv100e, xcv200e, xcv300e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 49 fg256 differential pin pairs virtex-e devices have differential pin pairs that can also pro- vide other functions when not used as a differential pair. a in the ao column indicates that the pin pair can be used as an asynchronous output for all devices provided in this package. pairs with a note number in the ao column are device dependent. they can have asynchronous outputs if the pin pair are in the same clb row and column in the device. numbers in this column refer to footnotes that indi- cate which devices have pin pairs than can be asynchro- nous outputs. the other functions column indicates alternative function(s) not available when the pair is used as a differential pair or differential clock. na gnd k11 na gnd k10 na gnd k9 na gnd k8 na gnd k7 na gnd k6 na gnd j10 na gnd j9 na gnd j8 na gnd j7 na gnd h10 na gnd h9 na gnd h8 na gnd h7 na gnd g11 na gnd g10 na gnd g9 na gnd g8 na gnd g7 na gnd g6 na gnd f11 na gnd f10 na gnd f7 na gnd f6 na gnd b15 na gnd b2 na gnd a16 na gnd a1 notes: 1. v ref or i/o option only in the xcv100e, 200e, 300e; otherwise, i/o option only. 2. v ref or i/o option only in the xcv200e, 300e; otherwise, i/o option only. table 16: fg256 package ? XCV50E, xcv100e, xcv200e, xcv300e bank pin description pin # table 17: fg256 differential pin pair summary XCV50E, xcv100e, xcv200e, xcv300e pair bank p pin n pin ao other functions global differential clock 0 4 n8 n9 na io_dll_l52p 1 5 r8 t8 na io_dll_l52n 2 1 c9 a8 na io_dll_l8p 3 0 b8 a7 na io_dll_l8n io lvds total pairs: 83, asynchronous outputs: 35 0 0 a3 c5 7 vref 10e6d5 - 2 0 a4 b4 vref 30b5d62 - 40a5c6 vref 50c7b6 - 6 0 c8 d7 1 - 7 0 a6 b7 1 vref 8 1 a8 a7 na io_lvds_dll 91a9d92 - 101b9e101 vref 11 1 d10 a10 1 - 12 1 a11 c10 - 13 1 e11 b11 vref 14 1 d11 a12 2 - 15 1 c11 a13 vref 16 1 d12 b12 - 17 1 c12 a14 7 vref 18 1 b13 c13 cs
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 50 1-800-255-7778 production product specification 19 2 c15 d14 din, d0 20 2 b16 e13 6 vref 21 2 c16 e14 - 22 2 f13 e15 1 vref 23 2 f12 d16 5 - 24 2 f14 e16 3 d1 25 2 f15 g13 d2 26 2 f16 g12 6 - 27 2 g15 g14 - 28 2 h13 g16 3 d3 29 2 j13 h15 4 - 30 2 h14 h16 - 31 3 k15 j14 4 - 32 3 j16 k16 3 vref 33 3 k12 l15 - 34 3 k13 l16 6 - 35 3 k14 m16 d5 36 3 n16 l13 3 vref 37 3 p16 l12 5 - 38 3 m15 l14 1 vref 39 3 m14 r16 - 40 3 m13 t15 6 vref 41 3 n14 n15 init 42 4 t14 p13 - 43 4 p12 r13 7 vref 44 4 n12 t13 - 45 4 t12 p11 vref 46 4 r12 n11 2 - 47 4 t11 m11 vref 48 4 r11 t10 - 49 4 r10 m10 1 - 50 4 p9t91 vref 51 4 n10 r9 1 - 52 5 n9 t8 na io_lvds_dll 53 5 r7 p8 1 vref 54 5 p7t61 - table 17: fg256 differential pin pair summary XCV50E, xcv100e, xcv200e, xcv300e pair bank p pin n pin ao other functions 55 5 m7 r6 - 565p6r5 vref 57 5 n6t52 - 58 5 m6 t4 vref 59 5 t3 p5 - 60 5 t2 n5 7 vref 61 6 r1 m3 - 62 6 n2 m4 6 vref 636p1l5 - 64 6 l3 n1 1 vref 65 6 l4m25 - 666k4m13 vref 67 6 l1 l2 - 686k1k36 - 696k5k2 - 70 6 j1 j3 3 vref 71 6 h1 j4 4 - 72 7 h4 g1 - 73 7 h2 g5 4 - 74 7 h3 g4 3 vref 75 7 f5 g2 - 76 7 f1 f4 6 - 77 7 f2 g3 - 78 7 d1 e1 3 vref 797e2e45 - 80 7 c1f31 vref 817e3d2 - 827a2b16 vref notes: 1. ao in the XCV50E, 200e, 300e. 2. ao in the XCV50E, 200e. 3. ao in the XCV50E, 300e. 4. ao in the xcv100e, 200e. 5. ao in the xcv200e. 6. ao in the xcv100e. 7. ao in the XCV50E. table 17: fg256 differential pin pair summary XCV50E, xcv100e, xcv200e, xcv300e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 51 fg456 fine-pitch ball grid array packages xcv200e and xcv300e devices in fg456 fine-pitch ball grid array packages have footprint compatibility. pins labeled i0_vref can be used as either in both devices pro- vided in this package. if the pin is not used as v ref , it can be used as general i/o. immediately following table 18 , see table 19 for differential pair information. table 18: fg456 ? xcv200e and xcv300e bank pin description pin # 0gck3c11 0ioa2 1 0ioa3 0ioa6 1 0ioa10 0iob5 0iob9 0ioc5 0iod8 0iod10 0ioe11 1 0 io_l0n d5 0 io_l0p b3 0 io_vref_l1n_yy b4 0 io_l1p_yy e6 0 io_l2n a4 0 io_l2p e7 0 io_vref_l3n_yy c6 0 io_l3p_yy d6 0 io_l4n_y a5 0io_l4p_yb6 0 io_l5n_y d7 0io_l5p_yc7 0 io_vref_l6n_yy e8 0 io_l6p_yy b7 0 io_l7n_yy a7 0 io_l7p_yy e9 0 io_l8n_y c8 0io_l8p_yb8 0 io_l9n_y d9 0io_l9p_ya8 0 io_l10n c9 0 io_l10p e10 0 io_vref_l11n_yy a9 0 io_l11p_yy c10 0 io_l12n_y f11 0 io_l12p_y b10 0 io_lvds_dll_l13n b11 1gck2a11 1ioa12 1 1ioa14 1iob16 1 1iob19 1ioe13 1ioe15 1ioe16 1ioe17 1 1 io_lvds_dll_l13p d11 1 io_l14n_y c12 1 io_l14p_y d12 1 io_l15n_y b12 1 io_l15p_y a13 1 io_l16n_yy e12 1 io_vref_l16p_yy b13 1 io_l17n_yy c13 1 io_l17p_yy d13 1 io_l18n_y b14 1 io_l18p_y c14 1 io_l19n_y f12 1 io_l19p_y a15 1 io_l20n_yy b15 1 io_l20p_yy c15 1 io_l21n_yy a16 1 io_vref_l21p_yy e14 1 io_l22n_y d14 1 io_l22p_y c16 1 io_l23n_y d15 table 18: fg456 ? xcv200e and xcv300e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 52 1-800-255-7778 production product specification 1 io_l23p_y a17 1 io_l24n_yy b17 1 io_vref_l24p_yy a18 1 io_l25n_yy d16 1 io_l25p_yy c17 1 io_l26n_yy b18 1 io_vref_l26p_yy a19 1 io_l27n_yy d17 1 io_l27p_yy c18 1 io_write_l28n_yy a20 1 io_cs_l28p_yy c19 2iod18 1 2ioe19 1 2ioe20 2iof20 2iog21 2iog22 1 2ioj22 2iol19 1 2io_d3k20 2 io_dout_busy_l29p_yy c21 2 io_din_d0_l29n_yy d20 2 io_l30p_yy c22 2 io_l30n_yy d21 2 io_vref_l31p_yy d22 2 io_l31n_yy e21 2 io_l32p_yy e22 2 io_l32n_yy f18 2 io_vref_l33p_yy f21 2 io_l33n_yy f19 2 io_l34p_y f22 2 io_l34n_y g19 2 io_l35p_y g20 2 io_l35n_y g18 2 io_vref_l36p_y h18 2 io_d1_l36n_y h22 table 18: fg456 ? xcv200e and xcv300e bank pin description pin # 2 io_d2_l37p_yy h20 2 io_l37n_yy h19 2 io_l38p_yy h21 2 io_l38n_yy j19 2 io_l39p_yy j18 2 io_l39n_yy j20 2 io_l40p_y k18 2 io_l40n_y j21 2 io_l41p k22 2 io_vref_l41n k21 2 io_l42p_y k19 2 io_l42n_y l22 2 io_l43p_yy l21 2 io_l43n_yy l18 2 io_l44p_yy l17 2 io_l44n_yy l20 3iom21 1 3iop22 3ior20 1 3ior22 3iot19 3iou18 1 3iov20 3iov21 3ioy22 1 3 io_l45p_yy m18 3 io_l45n_yy m20 3 io_l46p_y m19 3 io_l46n_y m17 3 io_d4_l47p_y n22 3 io_vref_l47n_y n21 3 io_l48p_yy n20 3 io_l48n_yy n18 3 io_l49p_yy n19 3 io_l49n_yy p21 3 io_l50p_yy p20 table 18: fg456 ? xcv200e and xcv300e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 53 3 io_l50n_yy p19 3 io_l51p_yy p18 3 io_d5_l51n_yy r21 3 io_d6_l52p_y t22 3 io_vref_l52n_y r19 3 io_l53p_y u22 3 io_l53n_y r18 3 io_l54p_yy t21 3 io_l54n_yy v22 3 io_l55p_yy t20 3 io_vref_l55n_yy u21 3 io_l56p_yy w22 3 io_l56n_yy t18 3 io_l57p_yy u19 3 io_vref_l57n_yy u20 3 io_l58p_yy w21 3 io_l58n_yy aa22 3 io_d7_l59p_yy y21 3 io_init_l59n_yy v19 3iom22 4gck0w12 4iow14 4ioy13 4ioy17 4 io aa16 1 4 io aa19 4 io ab12 1 4 io ab17 4 io ab21 1 4 io_l60p_yy w18 4 io_l60n_yy aa20 4 io_l61p y18 4 io_l61n v17 4 io_vref_l62p_yy ab20 4 io_l62n_yy w17 4 io_l63p aa18 table 18: fg456 ? xcv200e and xcv300e bank pin description pin # 4 io_l63n v16 4 io_vref_l64p_yy ab19 4 io_l64n_yy ab18 4 io_l65p_y w16 4 io_l65n_y aa17 4 io_l66p_y y16 4 io_l66n_y v15 4 io_vref_l67p_yy ab16 4 io_l67n_yy y15 4 io_l68p_yy aa15 4 io_l68n_yy ab15 4 io_l69p_y w15 4 io_l69n_y y14 4 io_l70p_y v14 4 io_l70n_y aa14 4 io_l71p ab14 4 io_l71n v13 4 io_vref_l72p_yy aa13 4 io_l72n_yy ab13 4 io_l73p_y w13 4 io_l73n_y aa12 4 io_l74p_y y12 4 io_l74n_y v12 4 io_lvds_dll_l75p u12 5iou11 1 5iov8 5iow5 5 io aa3 1 5ioaa9 5 io aa10 5ioab4 5 io ab7 1 5ioab8 5gck1y11 5 io_lvds_dll_l75n aa11 5 io_l76p_y ab11 table 18: fg456 ? xcv200e and xcv300e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 54 1-800-255-7778 production product specification 5 io_l76n_y w11 5 io_l77p_yy v11 5 io_vref_l77n_yy y10 5 io_l78p_yy ab10 5 io_l78n_yy w10 5 io_l79p_y v10 5 io_l79n_y y9 5 io_l80p_y ab9 5 io_l80n_y w9 5 io_l81p_yy v9 5 io_l81n_yy aa8 5 io_l82p_yy y8 5 io_vref_l82n_yy w8 5 io_l83p_y w7 5 io_l83n_y aa7 5 io_l84p_y ab6 5 io_l84n_y aa6 5 io_l85p_yy ab5 5 io_vref_l85n_yy aa5 5 io_l86p_yy y7 5 io_l86n_yy w6 5 io_l87p_yy aa4 5 io_vref_l87n_yy y6 5 io_l88p_yy v7 5 io_l88n_yy ab3 6iom2 1 6iom5 6iop4 6ior3 1 6iot2 6iot4 6iou3 1 6iow2 6ioaa1 1 6 io_l89n_yy w3 6 io_l89p_yy y2 table 18: fg456 ? xcv200e and xcv300e bank pin description pin # 6 io_l90n_yy v4 6 io_l90p_yy v3 6 io_vref_l91n_yy y1 6 io_l91p_yy u4 6 io_l92n_yy v2 6 io_l92p_yy w1 6 io_vref_l93n_yy t3 6 io_l93p_yy u2 6 io_l94n_y t5 6 io_l94p_y v1 6 io_l95n_y r5 6 io_l95p_y u1 6 io_vref_l96n_y r4 6 io_l96p_y t1 6 io_l97n_yy r2 6 io_l97p_yy p3 6 io_l98n_yy p5 6 io_l98p_yy r1 6 io_l99n_yy p2 6 io_l99p_yy n5 6 io_l100n_y p1 6 io_l100p_y n4 6 io_l101n n3 6 io_vref_l101p n2 6 io_l102n_y n1 6 io_l102p_y m4 6 io_l103n_yy m3 6 io_l103p_yy m6 6iom1 7iob1 7ioc2 1 7iod1 1 7ioe4 7iof4 7iog2 1 7iog4 table 18: fg456 ? xcv200e and xcv300e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 55 7ioj1 7ioj4 7iol2 1 7 io_l104n_yy l3 7 io_l104p_yy l4 7 io_l105n_yy l5 7 io_l105p_yy l1 7 io_l106n_y l6 7 io_l106p_y k2 7 io_l107n_y k4 7 io_vref_l107p_y k3 7 io_l108n_yy k1 7 io_l108p_yy k5 7 io_l109n_yy j3 7 io_l109p_yy j2 7 io_l110n_yy j5 7 io_l110p_yy h1 7 io_l111n_yy h2 7 io_l111p_yy h3 7 io_l112n_y g1 7 io_vref_l112p_y h4 7 io_l113n_y f1 7 io_l113p_y f2 7 io_l114n_yy h5 7 io_l114p_yy g3 7 io_l115n_yy e1 7 io_vref_l115p_yy e2 7 io_l116n_yy f3 7 io_l116p_yy g5 7 io_l117n_yy e3 7 io_vref_l117p_yy d2 7 io_l118n_yy f5 7 io_l118p_yy c1 2 cclk b22 3doney19 na dxn y5 table 18: fg456 ? xcv200e and xcv300e bank pin description pin # na dxp v6 na m0 ab2 na m1 u5 na m2 y4 na program w20 na tck c4 na tdi b20 2tdoa21 na tms d3 na nc w19 na nc w4 na nc d19 na nc d4 na vccint e5 na vccint e18 na vccint f6 na vccint f17 na vccint g7 na vccint g8 na vccint g9 na vccint g14 na vccint g15 na vccint h7 na vccint g16 na vccint h16 na vccint j7 na vccint j16 na vccint p7 na vccint p16 na vccint r7 na vccint r16 na vccint t7 na vccint t8 na vccint t9 na vccint t14 table 18: fg456 ? xcv200e and xcv300e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 56 1-800-255-7778 production product specification na vccint t15 na vccint t16 na vccint u6 na vccint u17 na vccint v5 na vccint v18 na vcco_7 l7 na vcco_7 k7 na vcco_7 k6 na vcco_7 j6 na vcco_7 h6 na vcco_7 g6 na vcco_6 n7 na vcco_6 m7 na vcco_6 t6 na vcco_6 r6 na vcco_6 p6 na vcco_6 n6 na vcco_5 u10 na vcco_5 u9 na vcco_5 u8 na vcco_5 u7 na vcco_5 t11 na vcco_5 t10 na vcco_4 u16 na vcco_4 u15 na vcco_4 u14 na vcco_4 u13 na vcco_4 t13 na vcco_4 t12 na vcco_3 t17 na vcco_3 r17 na vcco_3 p17 na vcco_3 n17 na vcco_3 n16 na vcco_3 m16 table 18: fg456 ? xcv200e and xcv300e bank pin description pin # na vcco_2 k17 na vcco_2 j17 na vcco_2 h17 na vcco_2 g17 na vcco_2 l16 na vcco_2 k16 na vcco_1 g13 na vcco_1 g12 na vcco_1 f16 na vcco_1 f15 na vcco_1 f14 na vcco_1 f13 na vcco_0 g11 na vcco_0 g10 na vcco_0 f10 na vcco_0 f9 na vcco_0 f8 na vcco_0 f7 na gnd ab22 na gnd ab1 na gnd aa21 na gnd aa2 na gnd y20 na gnd y3 na gnd p14 na gnd p13 na gnd p12 na gnd p11 na gnd p10 na gnd p9 na gnd n14 na gnd n13 na gnd n12 na gnd n11 na gnd n10 na gnd n9 table 18: fg456 ? xcv200e and xcv300e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 57 fg456 differential pin pairs virtex-e devices have differential pin pairs that can also pro- vide other functions when not used as a differential pair. a in the ao column indicates that the pin pair can be used as an asynchronous output for all devices provided in this package. pairs with a note number in the ao column are device dependent. they can have asynchronous outputs if the pin pair are in the same clb row and column in the device. numbers in this column refer to footnotes that indi- cate which devices have pin pairs than can be asynchro- nous outputs. the other functions column indicates alternative function(s) not available when the pair is used as a differential pair or differential clock. na gnd m14 na gnd m13 na gnd m12 na gnd m11 na gnd m10 na gnd m9 na gnd l14 na gnd l13 na gnd l12 na gnd l11 na gnd l10 na gnd l9 na gnd k14 na gnd k13 na gnd k12 na gnd k11 na gnd k10 na gnd k9 na gnd j14 na gnd j13 na gnd j12 na gnd j11 na gnd j10 na gnd j9 na gnd c20 na gnd c3 na gnd b21 na gnd b2 na gnd a22 na gnd a1 note 1: nc in the xcv200e device. table 18: fg456 ? xcv200e and xcv300e bank pin description pin # table 19: fg456 differential pin pair summary xcv200e, xcv300e pair bank p pin n pin ao other functions global differential clock 0 4 w12 u12 na io_dll_l75p 1 5 y11 aa11 na io_dll_l75n 2 1 a11 d11 na io_dll_l13p 3 0 c11 b11 na io_dll_l13n io lvds total pairs: 119, asynchronous output pairs: 69 0 0 b3 d5 na - 1 0 e6 b4 vref 2 0 e7 a4 na - 3 0 d6 c6 vref 4 0 b6 a5 1 - 5 0 c7 d7 1 - 6 0 b7 e8 vref 7 0 e9 a7 - 80b8c81 - 90a8d91 - 10 0 e10 c9 na - 11 0 c10 a9 vref 12 0 b10 f11 2 - 13 1 d11 b11 na io_lvds_dll 14 1 d12 c12 2 - 15 1 a13 b12 2 - 16 1 b13 e12 vref 17 1 d13 c13 -
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 58 1-800-255-7778 production product specification 18 1 c14 b14 2 - 19 1 a15 f12 2 - 20 1 c15 b15 - 21 1 e14 a16 vref 22 1 c16 d14 2 - 23 1 a17 d15 2 - 24 1 a18 b17 vref 25 1 c17 d16 - 26 1 a19 b18 vref 27 1 c18 d17 - 28 1 c19 a20 cs 29 2 c21 d20 din, d0 30 2 c22 d21 - 31 2 d22 e21 vref 32 2 e22 f18 - 33 2 f21 f19 vref 34 2 f22 g19 2 - 35 2 g20 g18 1 - 36 2 h18 h22 2 d1, vref 37 2 h20 h19 d2 38 2 h21 j19 - 39 2 j18 j20 - 40 2 k18 j21 2 - 41 2 k22 k21 1 vref 42 2 k19 l22 2 - 43 2 l21 l18 - 44 2 l17 l20 - 45 3 m18 m20 - 46 3 m19 m17 2 - 47 3 n22 n21 2 vref 48 3 n20 n18 - 49 3 n19 p21 - 50 3 p20 p19 - 51 3 p18 r21 d5 52 3 t22 r19 2 vref table 19: fg456 differential pin pair summary xcv200e, xcv300e pair bank p pin n pin ao other functions 53 3 u22 r18 2 - 54 3 t21 v22 - 55 3 t20 u21 vref 56 3 w22 t18 - 57 3 u19 u20 vref 58 3 w21 aa22 - 59 3 y21 v19 init 60 4 w18 aa20 - 61 4 y18 v17 na - 62 4 ab20 w17 vref 63 4 aa18 v16 na - 64 4 ab19 ab18 vref 65 4 w16 aa17 1 - 66 4 y16 v15 1 - 67 4 ab16 y15 vref 68 4 aa15 ab15 - 69 4 w15 y14 1 - 70 4 v14 aa14 1 - 71 4 ab14 v13 na - 72 4 aa13 ab13 vref 73 4 w13 aa12 2 - 74 4 y12 v12 2 - 75 5 u12 aa11 na io_lvds_dll 76 5 ab11 w11 1 - 77 5 v11 y10 vref 78 5 ab10 w10 - 79 5 v10 y9 2 - 80 5 ab9 w9 2 - 81 5 v9 aa8 - 82 5 y8 w8 vref 83 5 w7 aa7 2 - 84 5 ab6 aa6 2 - 85 5 ab5 aa5 vref 86 5 y7 w6 - 87 5 aa4 y6 vref table 19: fg456 differential pin pair summary xcv200e, xcv300e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 59 fg676 fine-pitch ball grid array package xcv400e and xcv600e devices in the fg676 fine-pitch ball grid array package have footprint compatibility. pins labeled i0_vref can be used as either in all parts unless device-dependent as indicated in the footnotes. if the pin is not used as v ref , it can be used as general i/o. immedi- ately following table 20 , see ta ble 2 1 for differential pair information. 88 5 v7 ab3 - 89 6 y2 w3 - 90 6 v3 v4 - 916u4y1 vref 92 6 w1 v2 - 936u2t3 vref 94 6 v1 t5 2 - 956u1r51 - 96 6 t1r42 vref 97 6 p3 r2 - 986r1p5 - 996n5p2 - 100 6 n4 p1 2 - 101 6 n2 n3 1 vref 102 6 m4 n1 2 - 103 6 m6 m3 - 104 7 l4 l3 - 105 7 l1 l5 - 106 7 k2 l6 2 - 107 7 k3 k4 2 vref 108 7 k5 k1 - 109 7 j2 j3 - 110 7 h1 j5 - 111 7 h3 h2 - 112 7 h4 g1 2 vref 113 7 f2 f1 2 - 114 7 g3 h5 - 115 7 e2 e1 vref 116 7 g5 f3 - 117 7 d2 e3 vref 118 7 c1 f5 - notes: 1. ao in the xcv200e. 2. ao in the xcv300e. table 19: fg456 differential pin pair summary xcv200e, xcv300e pair bank p pin n pin ao other functions table 20: fg676 ? xcv400e, xcv600e bank pin description pin # 0gck3e13 0ioa6 0ioa9 1 0ioa10 1 0iob3 0iob4 1 0iob12 1 0ioc6 0ioc8 0iod5 0iod13 1 0iog13 0 io_l0n_y c4 0 io_l0p_y f7 0 io_l1n_yy g8 0 io_l1p_yy c5 0 io_vref_l2n_yy d6 0 io_l2p_yy e7 0io_l3na4 0 io_l3p f8 0io_l4nb5 0 io_l4p d7 0 io_vref_l5n_yy e8 0 io_l5p_yy g9 0 io_l6n_yy a5 0 io_l6p_yy f9 0 io_l7n_y d8 0 io_l7p_y c7 0 io_vref_l8n_y b7 2 0 io_l8p_y e9
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 60 1-800-255-7778 production product specification 0 io_l9n a7 0 io_l9p d9 0 io_l10n b8 0 io_vref_l10p g10 0 io_l11n_yy c9 0 io_l11p_yy f10 0 io_l12n_y a8 0 io_l12p_y e10 0 io_l13n_yy g11 0 io_l13p_yy d10 0 io_l14n_yy b10 0 io_l14p_yy f11 0 io_l15n c10 0 io_l15p e11 0 io_l16n_yy g12 0 io_l16p_yy d11 0 io_vref_l17n_yy c11 0 io_l17p_yy f12 0 io_l18n_yy a11 0 io_l18p_yy e12 0 io_l19n_y d12 0 io_l19p_y c12 0 io_vref_l20n_y a12 0 io_l20p_y h13 0 io_lvds_dll_l21n b13 1gck2c13 1ioa13 1 1ioa16 1 1ioa19 1ioa20 1ioa22 1ioa24 1 1iob15 1 1iob17 1 1iob23 1 io_lvds_dll_l21p f14 table 20: fg676 ? xcv400e, xcv600e bank pin description pin # 1 io_l22n e14 1 io_l22p f13 1 io_l23n_y d14 1 io_vref_l23p_y a14 1 io_l24n_y c14 1 io_l24p_y h14 1 io_l25n_yy g14 1 io_l25p_yy c15 1 io_l26n_yy e15 1 io_vref_l26p_yy d15 1 io_l27n_yy c16 1 io_l27p_yy f15 1 io_l28n g15 1 io_l28p d16 1 io_l29n_yy e16 1 io_l29p_yy a17 1 io_l30n_yy c17 1 io_l30p_yy e17 1 io_l31n_y f16 1 io_l31p_y d17 1 io_l32n_yy f17 1 io_l32p_yy c18 1 io_l33n_yy a18 1 io_vref_l33p_yy g16 1 io_l34n_yy c19 1 io_l34p_yy g17 1 io_l35n_y d18 1 io_vref_l35p_y b19 2 1 io_l36n_y d19 1 io_l36p_y e18 1 io_l37n_yy f18 1 io_l37p_yy b20 1 io_l38n_yy g19 1 io_vref_l38p_yy c20 1 io_l39n_yy g18 1 io_l39p_yy e19 1 io_l40n_yy a21 table 20: fg676 ? xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 61 1 io_l40p_yy d20 1 io_l41n_yy f19 1 io_vref_l41p_yy c21 1 io_l42n_yy b22 1 io_l42p_yy e20 1 io_l43n_y a23 1 io_l43p_y d21 1 io_write_l44n_yy c22 1 io_cs_l44p_yy e21 2iod25 1 2iod26 2ioe26 2iof26 2ioh26 1 2iok26 1 2iom25 1 2ion26 1 2io_d1k24 2 io_dout_busy_l45p_yy e23 2 io_din_d0_l45n_yy f22 2 io_l46p_yy e24 2 io_l46n_yy f20 2 io_l47p_y g21 2 io_l47n_y g22 2 io_vref_l48p_y f24 2 io_l48n_y h20 2 io_l49p_y e25 2 io_l49n_y h21 2 io_l50p_yy f23 2 io_l50n_yy g23 2 io_vref_l51p_yy h23 2 io_l51n_yy j20 2 io_l52p_yy g24 2 io_l52n_yy h22 2 io_l53p_y j21 2 io_l53n_y g25 table 20: fg676 ? xcv400e, xcv600e bank pin description pin # 2 io_vref_l54p_y g26 2 2 io_l54n_y j22 2 io_l55p_yy h24 2 io_l55n_yy j23 2 io_l56p_yy j24 2 io_vref_l56n_yy k20 2 io_d2_l57p_yy k22 2 io_l57n_yy k21 2 io_l58p_yy h25 2 io_l58n_yy k23 2 io_l59p_y l20 2 io_l59n_y j26 2 io_l60p_y k25 2 io_l60n_y l22 2 io_l61p_y l21 2 io_l61n_y l23 2 io_l62p_y m20 2 io_l62n_y l24 2 io_vref_l63p_yy m23 2 io_d3_l63n_yy m22 2 io_l64p_yy l26 2 io_l64n_yy m21 2 io_l65p_y n19 2 io_l65n_y m24 2 io_vref_l66p_y m26 2 io_l66n_y n20 2 io_l67p_yy n24 2 io_l67n_yy n21 2 io_l68p_yy n23 2 io_l68n_yy n22 3iop24 3iop26 1 3ior26 1 3iot26 1 3iou26 1 3iow25 table 20: fg676 ? xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 62 1-800-255-7778 production product specification 3ioy26 3ioab25 3ioac25 1 3ioac26 3 io_l69p_yy p21 3 io_l69n_yy p23 3 io_l70p_y p22 3 io_vref_l70n_y r25 3 io_l71p_y p19 3 io_l71n_y p20 3 io_l72p_yy r21 3 io_l72n_yy r22 3 io_d4_l73p_yy r24 3 io_vref_l73n_yy r23 3 io_l74p_y t24 3 io_l74n_y r20 3 io_l75p_y t22 3 io_l75n_y u24 3 io_l76p_y t23 3 io_l76n_y u25 3 io_l77p_y t21 3 io_l77n_y u20 3 io_l78p_yy u22 3 io_l78n_yy v26 3 io_l79p_yy t20 3 io_d5_l79n_yy u23 3 io_d6_l80p_yy v24 3 io_vref_l80n_yy u21 3 io_l81p_yy v23 3 io_l81n_yy w24 3 io_l82p_y v22 3 io_vref_l82n_y w26 2 3 io_l83p_y y25 3 io_l83n_y v21 3 io_l84p_yy v20 3 io_l84n_yy aa26 3 io_l85p_yy y24 table 20: fg676 ? xcv400e, xcv600e bank pin description pin # 3 io_vref_l85n_yy w23 3 io_l86p_y aa24 3 io_l86n_y y23 3 io_l87p_y ab26 3 io_l87n_y w21 3 io_l88p_y y22 3 io_vref_l88n_y w22 3 io_l89p_y aa23 3 io_l89n_y ab24 3 io_l90p_yy w20 3 io_l90n_yy ac24 3 io_d7_l91p_yy ab23 3 io_init_l91n_yy y21 4 gck0 aa14 4ioac18 4ioae15 1 4 io ae20 4 io ae23 4ioaf14 1 4ioaf16 1 4ioaf18 1 4ioaf21 4ioaf23 1 4 io_l92p_yy ac22 4 io_l92n_yy ad26 4 io_l93p_y ad23 4 io_l93n_y aa20 4 io_l94p_yy y19 4 io_l94n_yy ac21 4 io_vref_l95p_yy ad22 4 io_l95n_yy ab20 4 io_l96p ae22 4 io_l96n y18 4 io_l97p af22 4 io_l97n aa19 4 io_vref_l98p_yy ad21 table 20: fg676 ? xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 63 4 io_l98n_yy ab19 4 io_l99p_yy ac20 4 io_l99n_yy aa18 4 io_l100p_y ac19 4 io_l100n_y ad20 4 io_vref_l101p_y af20 2 4 io_l101n_y ab18 4 io_l102p ad19 4 io_l102n y17 4 io_l103p ae19 4 io_vref_l103n ad18 4 io_l104p_yy af19 4 io_l104n_yy aa17 4 io_l105p_y ac17 4 io_l105n_y ab17 4 io_l106p_yy y16 4 io_l106n_yy ae17 4 io_l107p_yy af17 4 io_l107n_yy aa16 4 io_l108p ad17 4 io_l108n ab16 4 io_l109p_yy ac16 4 io_l109n_yy ad16 4 io_vref_l110p_yy ac15 4 io_l110n_yy y15 4 io_l111p_yy ad15 4 io_l111n_yy aa15 4 io_l112p_y w14 4 io_l112n_y ab15 4 io_vref_l113p_y af15 4 io_l113n_y y14 4 io_l114p ad14 4 io_l114n ab14 4 io_lvds_dll_l115p ac14 5gck1ab13 5ioy13 1 table 20: fg676 ? xcv400e, xcv600e bank pin description pin # 5ioad7 5ioad13 5ioae4 5ioae7 5ioae12 1 5ioaf3 1 5ioaf5 5ioaf10 1 5ioaf11 1 5 io_lvds_dll_l115n af13 5 io_l116p_y aa13 5 io_vref_l116n_y af12 5 io_l117p_y ac13 5 io_l117n_y w13 5 io_l118p_yy aa12 5 io_l118n_yy ad12 5 io_l119p_yy ac12 5 io_vref_l119n_yy ab12 5 io_l120p_yy ad11 5 io_l120n_yy y12 5 io_l121p ab11 5 io_l121n ad10 5 io_l122p_yy ac11 5 io_l122n_yy ae10 5 io_l123p_yy ac10 5 io_l123n_yy aa11 5 io_l124p_y y11 5 io_l124n_y ad9 5 io_l125p_yy ab10 5 io_l125n_yy af9 5 io_l126p_yy ad8 5 io_vref_l126n_yy aa10 5 io_l127p_yy ae8 5 io_l127n_yy y10 5 io_l128p_y ac9 5 io_vref_l128n_y af8 2 5 io_l129p_y af7 table 20: fg676 ? xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 64 1-800-255-7778 production product specification 5 io_l129n_y ab9 5 io_l130p_yy aa9 5 io_l130n_yy af6 5 io_l131p_yy ac8 5 io_vref_l131n_yy ac7 5 io_l132p_yy ad6 5 io_l132n_yy y9 5 io_l133p_yy ae5 5 io_l133n_yy aa8 5 io_l134p_yy ac6 5 io_vref_l134n_yy ab8 5 io_l135p_yy ad5 5 io_l135n_yy aa7 5 io_l136p_y af4 5 io_l136n_y ac5 6iop3 6 io aa3 6ioac1 1 6iop1 1 6ior2 1 6iot1 1 6iov1 1 6iow3 6ioy2 6ioy6 6 io_l137n_yy aa5 6 io_l137p_yy ac3 6 io_l138n_yy ac2 6 io_l138p_yy ab4 6 io_l139n_y w6 6 io_l139p_y aa4 6 io_vref_l140n_y ab3 6 io_l140p_y y5 6 io_l141n_y ab2 6 io_l141p_y v7 6 io_l142n_yy ab1 table 20: fg676 ? xcv400e, xcv600e bank pin description pin # 6 io_l142p_yy y4 6 io_vref_l143n_yy v5 6 io_l143p_yy w5 6 io_l144n_yy aa1 6 io_l144p_yy v6 6 io_l145n_y w4 6 io_l145p_y y3 6 io_vref_l146n_y y1 2 6 io_l146p_y u7 6 io_l147n_yy w1 6 io_l147p_yy v4 6 io_l148n_yy w2 6 io_vref_l148p_yy u6 6 io_l149n_yy v3 6 io_l149p_yy t5 6 io_l150n_yy u5 6 io_l150p_yy u4 6 io_l151n_y t7 6 io_l151p_y u3 6 io_l152n_y u2 6 io_l152p_y t6 6 io_l153n_y u1 6 io_l153p_y t4 6 io_l154n_y r7 6 io_l154p_y t3 6 io_vref_l155n_yy r4 6 io_l155p_yy r6 6 io_l156n_yy r3 6 io_l156p_yy r5 6 io_l157n_y p8 6 io_l157p_y p7 6 io_vref_l158n_y r1 6 io_l158p_y p6 6 io_l159n_yy p5 6 io_l159p_yy p4 7iod1 1 table 20: fg676 ? xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 65 7iod2 7iod3 7ioe1 7iog1 7ioh2 7ioj1 1 7iol1 1 7iom1 1 7ion1 1 7 io_l160n_yy n5 7 io_l160p_yy n8 7 io_l161n_yy n6 7 io_l161p_yy n3 7 io_l162n_y n4 7 io_vref_l162p_y m2 7 io_l163n_y n7 7 io_l163p_y m7 7 io_l164n_yy m6 7 io_l164p_yy m3 7 io_l165n_yy m4 7 io_vref_l165p_yy m5 7 io_l166n_y l3 7 io_l166p_y l7 7 io_l167n_y l6 7 io_l167p_y k2 7 io_l168n_y l4 7 io_l168p_y k1 7 io_l169n_y k3 7 io_l169p_y l5 7 io_l170n_yy k5 7 io_l170p_yy j3 7 io_l171n_yy k4 7 io_l171p_yy j4 7 io_l172n_yy h3 7 io_vref_l172p_yy k6 7 io_l173n_yy k7 7 io_l173p_yy g3 table 20: fg676 ? xcv400e, xcv600e bank pin description pin # 7 io_l174n_y j5 7 io_vref_l174p_y h1 2 7 io_l175n_y g2 7 io_l175p_y j6 7 io_l176n_yy j7 7 io_l176p_yy f1 7 io_l177n_yy h4 7 io_vref_l177p_yy g4 7 io_l178n_y f3 7 io_l178p_y h5 7 io_l179n_y e2 7 io_l179p_y h6 7 io_l180n_y g5 7 io_vref_l180p_y f4 7 io_l181n_y h7 7 io_l181p_y g6 7 io_l182n_yy e3 7 io_l182p_yy e4 2 cclk d24 3 done ab21 na dxn ab7 na dxp y8 na m0 ad4 na m1 w7 na m2 ab6 na program aa22 na tck e6 na tdi d22 2 tdo c23 na tms f5 na nc t25 na nc t2 na nc p2 na nc n25 na nc l25 table 20: fg676 ? xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 66 1-800-255-7778 production product specification na nc l2 na nc f6 na nc f25 na nc f21 na nc f2 na nc c26 na nc c25 na nc c2 na nc c1 na nc b6 na nc b26 na nc b24 na nc b21 na nc b16 na nc b11 na nc b1 na nc af25 na nc af24 na nc af2 na nc ae6 na nc ae3 na nc ae26 na nc ae24 na nc ae21 na nc ae16 na nc ae14 na nc ae11 na nc ae1 na nc ad25 na nc ad2 na nc ad1 na nc aa6 na nc aa25 na nc aa21 na nc aa2 na nc a3 na nc a25 table 20: fg676 ? xcv400e, xcv600e bank pin description pin # na nc a2 na nc a15 na vccint g7 na vccint g20 na vccint h8 na vccint h19 na vccint j9 na vccint j10 na vccint j11 na vccint j16 na vccint j17 na vccint j18 na vccint k9 na vccint k18 na vccint l9 na vccint l18 na vccint t9 na vccint t18 na vccint u9 na vccint u18 na vccint v9 na vccint v10 na vccint v11 na vccint v16 na vccint v17 na vccint v18 na vccint y7 na vccint y20 na vccint w8 na vccint w19 0 vcco j13 0 vcco j12 0 vcco h9 0 vcco h12 0 vcco h11 table 20: fg676 ? xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 67 0 vcco h10 1 vcco j15 1 vcco j14 1 vcco h18 1 vcco h17 1 vcco h16 1 vcco h15 2 vcco n18 2 vcco m19 2 vcco m18 2 vcco l19 2 vcco k19 2 vcco j19 3 vcco v19 3 vcco u19 3 vcco t19 3 vcco r19 3 vcco r18 3 vcco p18 4 vcco w18 4 vcco w17 4 vcco w16 4 vcco w15 4 vcco v15 4 vcco v14 5 vcco w9 5 vcco w12 5 vcco w11 5 vcco w10 5 vcco v13 5 vcco v12 6 vcco v8 6 vcco u8 6 vcco t8 6 vcco r9 6 vcco r8 6 vcco p9 table 20: fg676 ? xcv400e, xcv600e bank pin description pin # 7 vcco n9 7 vcco m9 7 vcco m8 7 vcco l8 7 vcco k8 7 vcco j8 na gnd v25 na gnd v2 na gnd u17 na gnd u16 na gnd u15 na gnd u14 na gnd u13 na gnd u12 na gnd u11 na gnd u10 na gnd t17 na gnd t16 na gnd t15 na gnd t14 na gnd t13 na gnd t12 na gnd t11 na gnd t10 na gnd r17 na gnd r16 na gnd r15 na gnd r14 na gnd r13 na gnd r12 na gnd r11 na gnd r10 na gnd p25 na gnd p17 na gnd p16 na gnd p15 table 20: fg676 ? xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 68 1-800-255-7778 production product specification na gnd p14 na gnd p13 na gnd p12 na gnd p11 na gnd p10 na gnd n2 na gnd n17 na gnd n16 na gnd n15 na gnd n14 na gnd n13 na gnd n12 na gnd n11 na gnd n10 na gnd m17 na gnd m16 na gnd m15 na gnd m14 na gnd m13 na gnd m12 na gnd m11 na gnd m10 na gnd l17 na gnd l16 na gnd l15 na gnd l14 na gnd l13 na gnd l12 na gnd l11 na gnd l10 na gnd k17 na gnd k16 na gnd k15 na gnd k14 na gnd k13 na gnd k12 na gnd k11 table 20: fg676 ? xcv400e, xcv600e bank pin description pin # na gnd k10 na gnd j25 na gnd j2 na gnd e5 na gnd e22 na gnd d4 na gnd d23 na gnd c3 na gnd c24 na gnd b9 na gnd b25 na gnd b2 na gnd b18 na gnd b14 na gnd af26 na gnd af1 na gnd ae9 na gnd ae25 na gnd ae2 na gnd ae18 na gnd ae13 na gnd ad3 na gnd ad24 na gnd ac4 na gnd ac23 na gnd ab5 na gnd ab22 na gnd a26 na gnd a1 notes: 1. nc in the xcv400e. 2. v ref or i/o option only in the xcv600e; otherwise, i/o option only. table 20: fg676 ? xcv400e, xcv600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 69 fg676 differential pin pairs virtex-e devices have differential pin pairs that can also pro- vide other functions when not used as a differential pair. a in the ao column indicates that the pin pair can be used as an asynchronous output for all devices provided in this package. pairs with a note number in the ao column are device dependent. they can have asynchronous outputs if the pin pair are in the same clb row and column in the device. numbers in this column refer to footnotes that indi- cate which devices have pin pairs than can be asynchro- nous outputs. the other functions column indicates alternative function(s) not available when the pair is used as a differential pair or differential clock. table 21: fg676 differential pin pair summary xcv400e, xcv600e pair ban k p pin n pin ao other functions global differential clock 3 0 e13 b13 na io_dll_l21n 2 1 c13 f14 na io_dll_l21p 1 5 ab13 af13 na io_dll_l115n 0 4 aa14 ac14 na io_dll_l115p iolvds total pairs: 183, asynchronous output pairs: 97 00f7c41 - 10c5g8 - 20e7d6 vref 30f8a4na - 4 0 d7 b5 na - 50g9e8 vref 60f9a5 - 70c7d81 - 8 0 e9 b7 1 vref 9 0 d9 a7 na - 10 0 g10 b8 na vref 11 0 f10 c9 - 12 0 e10 a8 1 - 13 0 d10 g11 - 14 0 f11 b10 - 15 0 e11 c10 na - 16 0 d11 g12 - 17 0 f12 c11 vref 18 0 e12 a11 - 19 0 c12 d12 1 - 20 0 h13 a12 1 vref 21 1 f14 b13 na io_lvds_dll 221f13e14na - 23 1 a14 d14 1 vref 24 1 h14 c14 1 - 25 1 c15 g14 - 26 1 d15 e15 vref 271f15c16 - 28 1 d16 g15 - - 29 1 a17 e16 - 30 1 e17 c17 - 31 1 d17 f16 1 - 32 1 c18 f17 - 33 1 g16 a18 vref 34 1 g17 c19 - 35 1 b19 d18 1 vref 36 1 e18 d19 1 - 37 1 b20 f18 - 38 1 c20 g19 vref 39 1 e19 g18 - 40 1 d20 a21 - 41 1 c21 f19 vref 42 1 e20 b22 - 43 1 d21 a23 2 - 44 1 e21 c22 cs 45 2 e23 f22 din, d0 46 2 e24 f20 - 47 2 g21 g22 2 - 48 2 f24 h20 1 vref 49 2 e25 h21 1 - 502f23g23 - 51 2 h23 j20 vref table 21: fg676 differential pin pair summary xcv400e, xcv600e pair ban k p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 70 1-800-255-7778 production product specification 52 2 g24 h22 - 53 2 j21 g25 2 - 54 2 g26 j22 1 vref 55 2 h24 j23 - 56 2 j24 k20 vref 57 2 k22 k21 d2 58 2 h25 k23 - 59 2 l20 j26 2 - 60 2 k25 l22 1 - 61 2 l21 l23 1 - 62 2 m20 l24 1 - 63 2 m23 m22 d3 64 2 l26 m21 - 65 2 n19 m24 2 - 66 2 m26 n20 1 vref 67 2 n24 n21 - 68 2 n23 n22 - 69 3 p21 p23 - 70 3 p22 r25 1 vref 71 3 p19 p20 2 - 72 3 r21 r22 - 73 3 r24 r23 vref 74 3 t24 r20 1 - 75 3 t22 u24 1 - 76 3 t23 u25 1 - 77 3 t21 u20 2 - 78 3 u22 v26 - 79 3 t20 u23 d5 80 3 v24 u21 vref 81 3 v23 w24 - 82 3 v22 w26 1 vref 83 3 y25 v21 2 - 84 3 v20 aa26 - 85 3 y24 w23 vref table 21: fg676 differential pin pair summary xcv400e, xcv600e pair ban k p pin n pin ao other functions 86 3 aa24 y23 1 - 87 3 ab26 w21 2 - 88 3 y22 w22 1 vref 89 3 aa23 ab24 2 - 90 3 w20 ac24 - 91 3 ab23 y21 init 92 4 ac22 ad26 - 93 4 ad23 aa20 1 - 94 4 y19 ac21 - 95 4 ad22 ab20 vref 96 4 ae22 y18 na - 97 4 af22 aa19 na - 98 4 ad21 ab19 vref 99 4 ac20 aa18 - 100 4 ac19 ad20 1 - 101 4 af20 ab18 1 vref 102 4 ad19 y17 na - 103 4 ae19 ad18 na vref 104 4 af19 aa17 - 105 4 ac17 ab17 1 - 106 4 y16 ae17 - 107 4 af17 aa16 - 108 4 ad17 ab16 na - 109 4 ac16 ad16 - 110 4 ac15 y15 vref 111 4 ad15 aa15 - 112 4 w14 ab15 1 - 113 4 af15 y14 1 vref 114 4 ad14 ab14 na - 115 5 ac14 af13 na io_lvds_dll 116 5 aa13 af12 1 vref 117 5 ac13 w13 1 - 118 5 aa12 ad12 - 119 5 ac12 ab12 vref table 21: fg676 differential pin pair summary xcv400e, xcv600e pair ban k p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 71 120 5 ad11 y12 - 121 5 ab11 ad10 na - 122 5 ac11 ae10 - 123 5 ac10 aa11 - 124 5 y11 ad9 1 - 125 5 ab10 af9 - 126 5 ad8 aa10 vref 127 5 ae8 y10 - 128 5 ac9 af8 1 vref 129 5 af7 ab9 1 - 130 5 aa9 af6 - 131 5 ac8 ac7 vref 132 5 ad6 y9 - 133 5 ae5 aa8 - 134 5 ac6 ab8 vref 135 5 ad5 aa7 - 136 5 af4 ac5 2 - 137 6 ac3 aa5 - 138 6 ab4 ac2 - 139 6 aa4 w6 2 - 140 6 y5 ab3 1 vref 141 6 v7 ab2 1 - 142 6 y4 ab1 - 143 6 w5 v5 vref 144 6 v6 aa1 - 145 6 y3 w4 2 - 146 6 u7 y1 1 vref 147 6 v4 w1 - 148 6 u6 w2 vref 149 6 t5 v3 - 150 6 u4 u5 - 151 6 u3 t7 2 - 152 6 t6 u2 1 - 153 6 t4 u1 1 - table 21: fg676 differential pin pair summary xcv400e, xcv600e pair ban k p pin n pin ao other functions 1546t3r71 - 155 6 r6 r4 vref 156 6 r5 r3 - 157 6 p7 p8 2 - 1586p6r11 vref 159 6 p4 p5 - 160 7 n8 n5 - 161 7 n3 n6 - 162 7 m2 n4 1 vref 163 7 m7 n7 2 - 164 7 m3 m6 - 165 7 m5 m4 vref 166 7 l7 l3 1 - 167 7 k2 l6 1 - 168 7 k1 l4 1 - 169 7 l5 k3 2 - 170 7 j3 k5 - 171 7 j4 k4 - 1727k6h3 vref 173 7 g3 k7 - 1747h1 j51 vref 1757j6g22 - 176 7 f1 j7 - 177 7 g4 h4 vref 178 7 h5 f3 1 - 179 7 h6 e2 2 - 180 7 f4 g5 1 vref 181 7 g6 h7 2 - 182 7 e4 e3 - notes: 1. ao in the xcv600e. 2. ao in the xcv400e. table 21: fg676 differential pin pair summary xcv400e, xcv600e pair ban k p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 72 1-800-255-7778 production product specification fg680 fine-pitch ball grid array package xcv600e, xcv1000e, xcv1600e, and xcv2000e devices in the fg680 fine-pitch ball grid array package have footprint compatibility. pins labeled i0_vref can be used as either in all parts unless device-dependent as indi- cated in the footnotes. if the pin is not used as v ref , it can be used as general i/o. immediately following ta b l e 2 2 , see table 23 for differential pair information. table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin # 0gck3a20 0iod35 0iob36 0 io_l0n_y c35 0 io_l0p_y a36 0 io_vref_l1n_y d34 1 0 io_l1p_y b35 0 io_l2n_yy c34 0 io_l2p_yy a35 0 io_vref_l3n_yy d33 0 io_l3p_yy b34 0 io_l4n c33 0 io_l4p a34 0 io_l5n_y d32 0 io_l5p_y b33 0 io_l6n_yy c32 0 io_l6p_yy d31 0 io_vref_l7n_yy a33 0 io_l7p_yy c31 0 io_l8n_y b32 0 io_l8p_y b31 0 io_vref_l9n_y a32 3 0 io_l9p_y d30 0 io_l10n_yy a31 0 io_l10p_yy c30 0 io_vref_l11n_yy b30 0 io_l11p_yy d29 0 io_l12n_y a30 0 io_l12p_y c29 0 io_l13n_y a29 0 io_l13p_y b29 0 io_vref_l14n_yy b28 0 io_l14p_yy a28 0 io_l15n_yy c28 0 io_l15p_yy b27 0 io_l16n_y d27 0 io_l16p_y a27 0 io_l17n_y c27 0 io_l17p_y b26 0 io_l18n_yy d26 0 io_l18p_yy c26 0 io_vref_l19n_yy a26 1 0 io_l19p_yy d25 0 io_l20n_y b25 0 io_l20p_y c25 0 io_l21n_y a25 0 io_l21p_y d24 0 io_l22n_yy a24 0 io_l22p_yy b23 0 io_vref_l23n_yy c24 0 io_l23p_yy a23 0 io_l24n_y b24 0 io_l24p_y b22 0 io_l25n_y e23 0 io_l25p_y a22 0 io_l26n_yy d23 0 io_l26p_yy b21 0 io_vref_l27n_yy c23 0 io_l27p_yy a21 0 io_l28n_y e22 0 io_l28p_y b20 0 io_lvds_dll_l29n c22 0io_vrefd22 2 1gck2d21 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 73 1ioc5 1 io_lvds_dll_l29p a19 1 io_l30n_y c21 1 io_vref_l30p_y b19 2 1 io_l31n_y c19 1 io_l31p_y a18 1 io_l32n_yy d19 1 io_vref_l32p_yy b18 1 io_l33n_yy c18 1 io_l33p_yy a17 1 io_l34n_y d18 1 io_l34p_y b17 1 io_l35n_y e18 1 io_l35p_y a16 1 io_l36n_yy c17 1 io_vref_l36p_yy d17 1 io_l37n_yy b16 1 io_l37p_yy e17 1 io_l38n_y a15 1 io_l38p_y c16 1 io_l39n_y b15 1 io_l39p_y d16 1 io_l40n_yy a14 1 io_vref_l40p_yy b14 1 1 io_l41n_yy c15 1 io_l41p_yy a13 1 io_l42n_y d15 1 io_l42p_y b13 1 io_l43n_y c14 1 io_l43p_y a12 1 io_l44n_yy d14 1 io_l44p_yy c13 1 io_l45n_yy b12 1 io_vref_l45p_yy d13 1 io_l46n_y a11 1 io_l46p_y c12 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin # 1 io_l47n_y b11 1 io_l47p_y c11 1 io_l48n_yy a10 1 io_vref_l48p_yy d11 1 io_l49n_yy b10 1 io_l49p_yy c10 1 io_l50n_y a9 1 io_vref_l50p_y d10 3 1 io_l51n_y b9 1 io_l51p_y c9 1 io_l52n_yy a8 1 io_vref_l52p_yy b8 1 io_l53n_yy d9 1 io_l53p_yy a7 1 io_l54n_y c8 1 io_l54p_y b7 1 io_l55n_y d8 1 io_l55p_y a6 1 io_l56n_yy c7 1 io_vref_l56p_yy b6 1 io_l57n_yy d7 1 io_l57p_yy a5 1 io_l58n_y c6 1 io_vref_l58p_y b5 1 1 io_l59n_y d6 1 io_l59p_y a4 1 io_write_l60n_yy b4 1 io_cs_l60p_yy d5 2iod1 2iof4 2 io_dout_busy_l61p_yy e3 2 io_din_d0_l61n_yy c2 2 io_l62p_y d3 2 io_l62n_y f3 2 io_vref_l63p d2 1 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 74 1-800-255-7778 production product specification 2 io_l63n g4 2 io_l64p g3 2 io_l64n e2 2 io_vref_l65p_y h4 2 io_l65n_y e1 2 io_l66p_yy h3 2 io_l66n_yy f2 2 io_l67p j4 2 io_l67n f1 2 io_l68p_y j3 2 io_l68n_y g2 2 io_vref_l69p_yy g1 2 io_l69n_yy k4 2 io_l70p_yy h2 2 io_l70n_yy k3 2 io_vref_l71p h1 3 2 io_l71n l4 2 io_l72p j2 2 io_l72n l3 2 io_vref_l73p_yy j1 2 io_l73n_yy m3 2 io_l74p_yy k2 2 io_l74n_yy n4 2 io_l75p k1 2 io_l75n n3 2 io_vref_l76p_yy l2 2 io_d1_l76n_yy p4 2 io_d2_l77p_yy p3 2 io_l77n_yy l1 2 io_l78p_y r4 2 io_l78n_y m2 2 io_l79p r3 2 io_l79n m1 2 io_l80p t4 2 io_l80n n2 2 io_vref_l81p_y n1 1 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin # 2 io_l81n_y t3 2 io_l82p_yy p2 2 io_l82n_yy u5 2 io_l83p p1 2 io_l83n u4 2 io_l84p_y r2 2 io_l84n_y u3 2 io_vref_l85p_yy v5 2 io_d3_l85n_yy r1 2 io_l86p_yy v4 2 io_l86n_yy t2 2 io_l87p v3 2 io_l87n t1 2 io_l88p w4 2 io_l88n u2 2 io_vref_l89p_yy w3 2 io_l89n_yy u1 2 io_l90p_yy aa3 2 io_l90n_yy v2 2 io_vref_l91p aa4 2 2 io_l91n v1 2 io_l92p_yy ab2 2 io_l92n_yy w2 3ioap3 3ioat3 3ioab3 3 io_l93p ab4 3 io_vref_l93n w1 2 3 io_l94p_yy ab5 3 io_l94n_yy y2 3 io_l95p_yy ac2 3 io_vref_l95n_yy y1 3 io_l96p ac3 3 io_l96n aa1 3 io_l97p ac4 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 75 3 io_l97n aa2 3 io_l98p_yy ac5 3 io_l98n_yy ab1 3 io_d4_l99p_yy ad3 3 io_vref_l99n_yy ac1 3 io_l100p_y ad1 3 io_l100n_y ad4 3 io_l101p ad2 3 io_l101n ae3 3 io_l102p_yy ae1 3 io_l102n_yy ae4 3 io_l103p_y ae2 3 io_vref_l103n_y af3 1 3 io_l104p af4 3 io_l104n af1 3 io_l105p ag3 3 io_l105n af2 3 io_l106p_y ag4 3 io_l106n_y ag1 3 io_l107p_yy ah3 3 io_d5_l107n_yy ag2 3 io_d6_l108p_yy ah1 3 io_vref_l108n_yy aj2 3 io_l109p ah2 3 io_l109n aj3 3 io_l110p_yy aj1 3 io_l110n_yy aj4 3 io_l111p_yy ak1 3 io_vref_l111n_yy ak3 3 io_l112p ak2 3 io_l112n ak4 3 io_l113p al1 3 io_vref_l113n al2 3 3 io_l114p_yy am1 3 io_l114n_yy al3 3 io_l115p_yy am2 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin # 3 io_vref_l115n_yy al4 3 io_l116p_y am3 3 io_l116n_y an1 3 io_l117p am4 3 io_l117n ap1 3 io_l118p_yy an2 3 io_l118n_yy ap2 3 io_l119p_y an3 3 io_vref_l119n_y ar1 3 io_l120p an4 3 io_l120n at1 3 io_l121p ar2 3 io_vref_l121n ap4 1 3 io_l122p_y at2 3 io_l122n_y ar3 3 io_d7_l123p_yy ar4 3 io_init_l123n_yy au2 4gck0aw19 4ioav3 4 io_l124p_yy au4 4 io_l124n_yy av5 4 io_l125p_y at6 4 io_l125n_y av4 4 io_vref_l126p_y au6 1 4 io_l126n_y aw4 4 io_l127p_yy at7 4 io_l127n_yy aw5 4 io_vref_l128p_yy au7 4 io_l128n_yy av6 4 io_l129p_y at8 4 io_l129n_y aw6 4 io_l130p_y au8 4 io_l130n_y av7 4 io_l131p_yy at9 4 io_l131n_yy aw7 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 76 1-800-255-7778 production product specification 4 io_vref_l132p_yy av8 4 io_l132n_yy au9 4 io_l133p_y aw8 4 io_l133n_y at10 4 io_vref_l134p_y av9 3 4 io_l134n_y au10 4 io_l135p_yy aw9 4 io_l135n_yy at11 4 io_vref_l136p_yy av10 4 io_l136n_yy au11 4 io_l137p_y aw10 4 io_l137n_y au12 4 io_l138p_y av11 4 io_l138n_y at13 4 io_vref_l139p_yy aw11 4 io_l139n_yy au13 4 io_l140p_yy at14 4 io_l140n_yy av12 4 io_l141p_y au14 4 io_l141n_y aw12 4 io_l142p_y at15 4 io_l142n_y av13 4 io_l143p_yy au15 4 io_l143n_yy aw13 4 io_vref_l144p_yy av14 1 4 io_l144n_yy at16 4 io_l145p_y aw14 4 io_l145n_y au16 4 io_l146p_y av15 4 io_l146n_y ar17 4 io_l147p_yy aw15 4 io_l147n_yy at17 4 io_vref_l148p_yy au17 4 io_l148n_yy av16 4 io_l149p_y ar18 4 io_l149n_y aw16 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin # 4 io_l150p_y at18 4 io_l150n_y av17 4 io_l151p_yy au18 4 io_l151n_yy aw17 4 io_vref_l152p_yy at19 4 io_l152n_yy av18 4 io_l153p_y au19 4 io_l153n_y aw18 4 io_vref_l154p au21 2 4 io_l154n av19 4 io_lvds_dll_l155p at21 5 gck1 au22 5ioat34 5ioaw20 5 io_lvds_dll_l155n at22 5 io_vref_l156p_y av20 2 5 io_l156n_y ar22 5 io_l157p_yy av23 5 io_vref_l157n_yy aw21 5 io_l158p_yy au23 5 io_l158n_yy av21 5 io_l159p_y at23 5 io_l159n_y aw22 5 io_l160p_y ar23 5 io_l160n_y av22 5 io_l161p_yy av24 5 io_vref_l161n_yy aw23 5 io_l162p_yy aw24 5 io_l162n_yy au24 5 io_l163p_y aw25 5 io_l163n_y at24 5 io_l164p_y av25 5 io_l164n_y au25 5 io_l165p_yy aw26 5 io_vref_l165n_yy at25 1 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 77 5 io_l166p_yy av26 5 io_l166n_yy aw27 5 io_l167p_y au26 5 io_l167n_y av27 5 io_l168p_y at26 5 io_l168n_y aw28 5 io_l169p_yy au27 5 io_l169n_yy av28 5 io_l170p_yy aw29 5 io_vref_l170n_yy at27 5 io_l171p_y aw30 5 io_l171n_y au28 5 io_l172p_y av30 5 io_l172n_y av29 5 io_l173p_yy aw31 5 io_vref_l173n_yy au29 5 io_l174p_yy av31 5 io_l174n_yy at29 5 io_l175p_y aw32 5 io_vref_l175n_y au30 3 5 io_l176p_y aw33 5 io_l176n_y at30 5 io_l177p_yy av33 5 io_vref_l177n_yy au31 5 io_l178p_yy at31 5 io_l178n_yy aw34 5 io_l179p_y av32 5 io_l179n_y av34 5 io_l180p_y au32 5 io_l180n_y aw35 5 io_l181p_yy at32 5 io_vref_l181n_yy av35 5 io_l182p_yy au33 5 io_l182n_yy aw36 5 io_l183p_y at33 5 io_vref_l183n_y av36 1 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin # 5 io_l184p_y au34 5 io_l184n_y au36 6iow39 6ioar37 6ioar39 6 io_l185n_yy ar36 6 io_l185p_yy at38 6 io_l186n_y ar38 6 io_l186p_y ap36 6 io_vref_l187n at39 1 6 io_l187p ap37 6 io_l188n ap38 6 io_l188p ap39 6 io_vref_l189n_y an36 6 io_l189p_y an38 6 io_l190n_yy an37 6 io_l190p_yy an39 6 io_l191n am36 6 io_l191p am38 6 io_l192n_y am37 6 io_l192p_y al36 6 io_vref_l193n_yy am39 6 io_l193p_yy al37 6 io_l194n_yy al38 6 io_l194p_yy ak36 6 io_vref_l195n al39 3 6 io_l195p ak37 6 io_l196n ak38 6 io_l196p aj36 6 io_vref_l197n_yy ak39 6 io_l197p_yy aj37 6 io_l198n_yy aj38 6 io_l198p_yy ah37 6 io_l199n aj39 6 io_l199p ah38 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 78 1-800-255-7778 production product specification 6 io_vref_l200n_yy ah39 6 io_l200p_yy ag38 6 io_l201n_yy ag36 6 io_l201p_yy ag39 6 io_l202n_y ag37 6 io_l202p_y af39 6 io_l203n af36 6 io_l203p ae38 6 io_l204n af37 6 io_l204p af38 6 io_vref_l205n_y ae39 1 6 io_l205p_y ae36 6 io_l206n_yy ad38 6 io_l206p_yy ae37 6 io_l207n ad39 6 io_l207p ad36 6 io_l208n_y ac38 6 io_l208p_y ac39 6 io_vref_l209n_yy ad37 6 io_l209p_yy ab38 6 io_l210n_yy ac35 6 io_l210p_yy ab39 6 io_l211n ac36 6 io_l211p aa38 6 io_l212n ac37 6 io_l212p aa39 6 io_vref_l213n_yy ab35 6 io_l213p_yy y38 6 io_l214n_yy ab36 6 io_l214p_yy y39 6 io_vref_l215n ab37 2 6 io_l215p aa36 7ioc38 7iob37 7iof37 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin # 7 io_l216n_yy aa37 7 io_l216p_yy w38 7 io_l217n w37 7 io_vref_l217p v39 2 7 io_l218n_yy w36 7 io_l218p_yy u39 7 io_l219n_yy v38 7 io_vref_l219p_yy u38 7 io_l220n v37 7 io_l220p t39 7 io_l221n v36 7 io_l221p t38 7 io_l222n_yy v35 7 io_l222p_yy r39 7 io_l223n_yy u37 7 io_vref_l223p_yy u36 7 io_l224n_y r38 7 io_l224p_y u35 7 io_l225n p39 7 io_l225p t37 7 io_l226n_yy p38 7 io_l226p_yy t36 7 io_l227n_y n39 7 io_vref_l227p_y n38 1 7 io_l228n r37 7 io_l228p m39 7 io_l229n r36 7 io_l229p m38 7 io_l230n_y p37 7 io_l230p_y l39 7 io_l231n_yy p36 7 io_l231p_yy n37 7 io_l232n_yy l38 7 io_vref_l232p_yy n36 7 io_l233n k39 7 io_l233p m37 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 79 7 io_l234n_yy k38 7 io_l234p_yy l37 7 io_l235n_yy j39 7 io_vref_l235p_yy l36 7 io_l236n j38 7 io_l236p k37 7 io_l237n h39 7 io_vref_l237p k36 3 7 io_l238n_yy h38 7 io_l238p_yy j37 7 io_l239n_yy g39 7 io_vref_l239p_yy g38 7 io_l240n_y j36 7 io_l240p_y f39 7 io_l241n h37 7 io_l241p f38 7 io_l242n_yy h36 7 io_l242p_yy e39 7 io_l243n_y g37 7 io_vref_l243p_y e38 7 io_l244n g36 7 io_l244p d39 7 io_l245n d38 7 io_vref_l245p f36 1 7 io_l246n_y d37 7 io_l246p_y e37 2 cclk e4 3doneau5 na dxn av37 na dxp au35 na m0 at37 na m1 au38 na m2 at35 na program at5 na tck c36 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin # na tdi b3 2 tdo c4 na tms e36 na vccint e8 na vccint e9 na vccint e15 na vccint e16 na vccint e24 na vccint e25 na vccint e31 na vccint e32 na vccint h5 na vccint h35 na vccint j5 na vccint j35 na vccint r5 na vccint r35 na vccint t5 na vccint t35 na vccint ad5 na vccint ad35 na vccint ae5 na vccint ae35 na vccint al5 na vccint al35 na vccint am5 na vccint am35 na vccint ar8 na vccint ar9 na vccint ar15 na vccint ar16 na vccint ar24 na vccint ar25 na vccint ar31 na vccint ar32 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 80 1-800-255-7778 production product specification 0 vcco e34 0 vcco e33 0 vcco e30 0 vcco e29 0 vcco e27 0 vcco e26 1 vcco e10 1 vcco e11 1 vcco e13 1 vcco e14 1 vcco e6 1 vcco e7 2 vcco p5 2 vcco n5 2 vcco l5 2 vcco k5 2 vcco g5 2 vcco f5 3 vcco ap5 3 vcco an5 3 vcco ak5 3 vcco aj5 3 vcco ag5 3 vcco af5 4 vcco ar10 4 vcco ar11 4 vcco ar13 4 vcco ar14 4 vcco ar6 4 vcco ar7 5 vcco ar34 5 vcco ar33 5 vcco ar30 5 vcco ar29 5 vcco ar27 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin # 5 vcco ar26 6 vcco ap35 6 vcco an35 6 vcco ak35 6 vcco aj35 6 vcco ag35 6 vcco af35 7 vcco p35 7 vcco n35 7 vcco l35 7 vcco k35 7 vcco g35 7 vcco f35 na gnd y5 na gnd y4 na gnd y37 na gnd y36 na gnd y35 na gnd y3 na gnd w5 na gnd w35 na gnd m5 na gnd m4 na gnd m36 na gnd m35 na gnd e5 na gnd e35 na gnd e28 na gnd e21 na gnd e20 na gnd e19 na gnd e12 na gnd d4 na gnd d36 na gnd d28 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 81 na gnd d20 na gnd d12 na gnd c39 na gnd c37 na gnd c3 na gnd c20 na gnd c1 na gnd b39 na gnd b38 na gnd b2 na gnd b1 na gnd aw39 na gnd aw38 na gnd aw37 na gnd aw3 na gnd aw2 na gnd aw1 na gnd av39 na gnd av38 na gnd av2 na gnd av1 na gnd au39 na gnd au37 na gnd au3 na gnd au20 na gnd au1 na gnd at4 na gnd at36 na gnd at28 na gnd at20 na gnd at12 na gnd ar5 na gnd ar35 na gnd ar28 na gnd ar21 na gnd ar20 table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin # na gnd ar19 na gnd ar12 na gnd ah5 na gnd ah4 na gnd ah36 na gnd ah35 na gnd aa5 na gnd aa35 na gnd a39 na gnd a38 na gnd a37 na gnd a3 na gnd a2 na gnd a1 notes: 1. v ref or i/o option only in the xcv1000e, 1600e, 2000e; otherwise, i/o option only. 2. v ref or i/o option only in the xcv1600e, 2000e; otherwise, i/o option only. 3. v ref or i/o option only in the xcv2000e; otherwise, i/o option only. table 22: fg680 - xcv600e, xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 82 1-800-255-7778 production product specification fg680 differential pin pairs virtex-e devices have differential pin pairs that can also pro- vide other functions when not used as a differential pair. a in the ao column indicates that the pin pair can be used as an asynchronous output for all devices provided in this package. pairs with a note number in the ao column are device dependent. they can have asynchronous outputs if the pin pair are in the same clb row and column in the device. numbers in this column refer to footnotes that indi- cate which devices have pin pairs than can be asynchro- nous outputs. the other functions column indicates alternative function(s) not available when the pair is used as a differential pair or differential clock. table 23: fg680 differential pin pair summary xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions gclk lvds 3 0 a20 c22 na io_dll_l29n 2 1 d21 a19 na io_dll_l29p 1 5 au22 at22 na io_dll_l155n 0 4 aw19 at21 na io_dll_l155p io lvds total pairs: 247, asynchronous output pairs: 111 00a36c355 - 10b35d345 vref 20a35c34 - 30b34d33 vref 40a34c333 - 50b33d323 - 60d31c32 - 70c31a33 vref 80b31b325 - 9 0 d30 a32 5 vref 100c30a31 - 110d29b30 vref 120c29a302 - 13 0 b29 a29 2 - 14 0 a28 b28 vref 15 0 b27 c28 - 16 0 a27 d27 5 - 17 0 b26 c27 5 - 180c26d26 - 190d25a26 vref 200c25b253 - 210d24a253 - 22 0 b23 a24 - 23 0 a23 c24 vref 24 0 b22 b24 5 - 25 0 a22 e23 5 - 26 0 b21 d23 - 27 0 a21 c23 vref 28 0 b20 e22 2 - 29 1 a19 c22 na io_lvds_dll 30 1 b19 c21 2 vref 31 1 a18 c19 2 - 32 1 b18 d19 vref 33 1 a17 c18 - 34 1 b17 d18 5 - 35 1 a16 e18 5 - 361d17c17 vref 37 1 e17 b16 - 381c16a153 - 391d16b153 - 40 1 b14 a14 vref 41 1 a13 c15 - 42 1 b13 d15 5 - 43 1 a12 c14 5 - 441c13d14 - 451d13b12 vref 461c12a112 - 471c11b112 - 481d11a10 vref 491c10b10 - 501d10a95 vref 51 1 c9 b9 5 - table 23: fg680 differential pin pair summary xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 83 52 1 b8 a8 vref 53 1 a7 d9 - 54 1 b7 c8 3 - 55 1 a6 d8 3 - 56 1 b6 c7 vref 57 1 a5 d7 - 58 1 b5 c6 5 vref 59 1 a4 d6 5 - 60 1 d5 b4 cs 61 2 e3 c2 din, d0 62 2 d3 f3 6 - 63 2 d2 g4 4 vref 64 2 g3 e2 4 - 65 2 h4 e1 6 vref 66 2 h3 f2 - 67 2 j4 f1 4 - 68 2 j3 g2 6 - 69 2 g1 k4 vref 70 2 h2 k3 - 71 2 h1 l4 7 vref 72 2 j2 l3 4 - 73 2 j1 m3 vref 74 2 k2 n4 - 75 2 k1 n3 4 - 76 2 l2 p4 d1 77 2 p3 l1 d2 78 2 r4 m2 6 - 79 2 r3 m1 4 - 80 2 t4 n2 4 - 81 2 n1 t3 6 vref 82 2 p2 u5 - 83 2 p1 u4 4 - 84 2 r2 u3 6 - 85 2 v5 r1 d3 table 23: fg680 differential pin pair summary xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions 86 2 v4 t2 - 87 2 v3 t1 7 - 88 2 w4 u2 4 - 89 2 w3 u1 vref 90 2 aa3 v2 - 91 2 aa4 v1 4 vref 92 2 ab2 w2 - 93 3 ab4 w1 4 vref 94 3 ab5 y2 - 95 3 ac2 y1 vref 96 3 ac3 aa1 4 - 97 3 ac4 aa2 7 - 98 3 ac5 ab1 - 99 3 ad3 ac1 vref 100 3 ad1 ad4 6 - 101 3 ad2 ae3 4 - 102 3 ae1 ae4 - 103 3 ae2 af3 6 vref 104 3 af4 af1 4 - 105 3 ag3 af2 4 - 106 3 ag4 ag1 6 - 107 3 ah3 ag2 d5 108 3 ah1 aj2 vref 109 3 ah2 aj3 4 - 110 3 aj1 aj4 - 111 3 ak1 ak3 vref 112 3 ak2 ak4 4 - 113 3 al1 al2 7 vref 114 3 am1 al3 - 115 3 am2 al4 vref 116 3 am3 an1 6 - 117 3 am4 ap1 4 - 118 3 an2 ap2 - 119 3 an3 ar1 6 vref table 23: fg680 differential pin pair summary xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 84 1-800-255-7778 production product specification 120 3 an4 at1 4 - 121 3 ar2 ap4 4 vref 122 3 at2 ar3 6 - 123 3 ar4 au2 init 124 4 au4 av5 - 125 4 at6 av4 5 - 126 4 au6 aw4 5 vref 127 4 at7 aw5 - 128 4 au7 av6 vref 129 4 at8 aw6 3 - 130 4 au8 av7 3 - 131 4 at9 aw7 - 132 4 av8 au9 vref 133 4 aw8 at10 5 - 134 4 av9 au10 5 vref 135 4 aw9 at11 - 136 4 av10 au11 vref 137 4 aw10 au12 2 - 138 4 av11 at13 2 - 139 4 aw11 au13 vref 140 4 at14 av12 - 141 4 au14 aw12 5 - 142 4 at15 av13 5 - 143 4 au15 aw13 - 144 4 av14 at16 vref 145 4 aw14 au16 3 - 146 4 av15 ar17 3 - 147 4 aw15 at17 - 148 4 au17 av16 vref 1494ar18aw165 - 150 4 at18 av17 5 - 151 4 au18 aw17 - 152 4 at19 av18 vref 153 4 au19 aw18 2 - table 23: fg680 differential pin pair summary xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions 154 4 au21 av19 2 vref 155 5 at21 at22 na io_lvds_dll 156 5 av20 ar22 8 vref 1575av23aw21 vref 158 5 au23 av21 - 159 5 at23 aw22 5 - 160 5 ar23 av22 5 - 1615av24aw23 vref 162 5 aw24 au24 - 163 5 aw25 at24 3 - 1645av25au253 - 165 5 aw26 at25 vref 1665av26aw27 - 167 5 au26 av27 5 - 168 5 at26 aw28 5 - 169 5 au27 av28 - 170 5 aw29 at27 vref 171 5 aw30 au28 2 - 172 5 av30 av29 2 - 173 5 aw31 au29 vref 1745av31at29 - 175 5 aw32 au30 5 vref 176 5 aw33 at30 5 - 1775av33au31 vref 178 5 at31 aw34 - 179 5 av32 av34 3 - 180 5 au32 aw35 3 - 181 5 at32 av35 vref 182 5 au33 aw36 - 183 5 at33 av36 5 vref 184 5 au34 au36 5 - 185 6 at38 ar36 - 186 6 ap36 ar38 6 - 187 6 ap37 at39 4 vref table 23: fg680 differential pin pair summary xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 85 188 6 ap39 ap38 4 - 189 6 an38 an36 6 vref 190 6 an39 an37 - 191 6 am38 am36 4 - 192 6 al36 am37 6 - 193 6 al37 am39 vref 194 6 ak36 al38 - 195 6 ak37 al39 7 vref 196 6 aj36 ak38 4 - 197 6 aj37 ak39 vref 1986ah37aj38 - 1996ah38aj394 - 200 6 ag38 ah39 vref 201 6 ag39 ag36 - 202 6 af39 ag37 6 - 203 6 ae38 af36 4 - 204 6 af38 af37 4 - 205 6 ae36 ae39 6 vref 206 6 ae37 ad38 - 207 6 ad36 ad39 4 - 208 6 ac39 ac38 6 - 209 6 ab38 ad37 vref 210 6 ab39 ac35 - 211 6 aa38 ac36 7 - 212 6 aa39 ac37 4 - 213 6 y38 ab35 vref 214 6 y39 ab36 - 215 6 aa36 ab37 4 vref 216 7 w38 aa37 - 217 7 v39 w37 4 vref 218 7 u39 w36 - 219 7 u38 v38 vref 220 7 t39 v37 4 - 221 7 t38 v36 7 - table 23: fg680 differential pin pair summary xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions 222 7 r39 v35 - 223 7 u36 u37 vref 224 7 u35 r38 6 - 225 7 t37 p39 4 - 226 7 t36 p38 - 227 7 n38 n39 6 vref 228 7 m39 r37 4 - 229 7 m38 r36 4 - 230 7 l39 p37 6 - 231 7 n37 p36 - 232 7 n36 l38 vref 233 7 m37 k39 4 - 234 7 l37 k38 - 235 7 l36 j39 vref 236 7 k37 j38 4 - 237 7 k36 h39 vref 238 7 j37 h38 - 239 7 g38 g39 vref 240 7 f39 j36 6 - 241 7 f38 h37 4 - 242 7 e39 h36 - 243 7 e38 g37 6 vref 244 7 d39 g36 4 - 245 7 f36 d38 4 vref 246 7 e37 d37 6 - notes: 1. ao in the xcv1000e, 1600e, 2000e. 2. ao in the xcv600e, 1000e, 1600e. 3. ao in the xcv600e, 1000e. 4. ao in the xcv1000e, 1600e. 5. ao in the xcv1000e, 2000e. 6. ao in the xcv600e, 1000e, 2000e. 7. ao in the xcv1000e. 8. ao in the xcv2000e. table 23: fg680 differential pin pair summary xcv600e, xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 86 1-800-255-7778 production product specification fg860 fine-pitch ball grid array package xcv1000e, xcv1600e, and xcv2000e devices in the fg680 fine-pitch ball grid array package have footprint compatibility. pins labeled i0_vref can be used as either in all parts unless device-dependent as indicated in the foot- notes. if the pin is not used as v ref , it can be used as gen- eral i/o. immediately following ta ble 2 4 , see ta ble 2 5 for differential pair information. table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin # 0gck3c22 0ioa26 0iob31 0iob34 0ioc24 0ioc29 0ioc34 0iod24 0iod36 0iod40 0ioe26 0ioe28 0ioe35 0 io_l0n_y a38 0 io_l0p_y d38 0 io_l1n_y b37 0 io_l1p_y e37 0 io_vref_l2n_y a37 0 io_l2p_y c39 0 io_l3n_y b36 0 io_l3p_y c38 0 io_l4n_yy a36 0 io_l4p_yy b35 0 io_vref_l5n_yy a35 0 io_l5p_yy d37 0 io_l6n_y c37 0 io_l6p_y a34 0 io_l7n_y e36 0 io_l7p_y b33 0 io_l8n_yy a33 0 io_l8p_yy c32 0 io_vref_l9n_yy c36 0 io_l9p_yy b32 0 io_l10n_y a32 0 io_l10p_y d35 0 io_vref_l11n_y c31 2 0 io_l11p_y c35 0 io_l12n_yy e34 0 io_l12p_yy a31 0 io_vref_l13n_yy d34 0 io_l13p_yy c30 0 io_l14n_y b30 0 io_l14p_y e33 0 io_l15n_y a30 0 io_l15p_y d33 0 io_vref_l16n_yy c33 0 io_l16p_yy b29 0 io_l17n_yy e32 0 io_l17p_yy a29 0 io_l18n_y d32 0 io_l18p_y c28 0 io_l19n_y e31 0 io_l19p_y b28 0 io_l20n_y d31 0 io_l20p_y a28 0 io_l21n_y d30 0 io_l21p_y c27 0 io_l22n_yy e29 0 io_l22p_yy b27 0 io_vref_l23n_yy d29 0 io_l23p_yy a27 0 io_l24n_y c26 0 io_l24p_y d28 0 io_l25n_y b26 0 io_l25p_y f27 0 io_l26n_yy e27 0 io_l26p_yy c25 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 87 0 io_vref_l27n_yy d27 0 io_l27p_yy b25 0 io_l28n_y a25 0 io_l28p_y d26 0 io_l29n_y a24 0 io_l29p_y e25 0 io_l30n_yy d25 0 io_l30p_yy b24 0 io_vref_l31n_yy e24 0 io_l31p_yy a23 0 io_l32n_y c23 0 io_l32p_y e23 0 io_vref_l33n_y b23 1 0 io_l33p_y d23 0 io_lvds_dll_l34n a22 1gck2b22 1ioa14 1ioa20 1iob11 1iob13 1ioc8 1ioc18 1ioc21 1iod7 1iod10 1iod15 1iod17 1ioe20 1 io_lvds_dll_l34p d22 1 io_l35n_y d21 1 io_vref_l35p_y b21 1 1 io_l36n_y d20 1 io_l36p_y a21 1 io_l37n_yy c20 1 io_vref_l37p_yy d19 1 io_l38n_yy b20 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin # 1 io_l38p_yy e19 1 io_l39n_y d18 1 io_l39p_y a19 1 io_l40n_y e18 1 io_l40p_y c19 1 io_l41n_yy b19 1 io_vref_l41p_yy e17 1 io_l42n_yy a18 1 io_l42p_yy d16 1 io_l43n_y e16 1 io_l43p_y b18 1 io_l44n_y f16 1 io_l44p_y a17 1 io_l45n_yy c17 1 io_vref_l45p_yy e15 1 io_l46n_yy b17 1 io_l46p_yy d14 1 io_l47n_y a16 1 io_l47p_y e14 1 io_l48n_y c16 1 io_l48p_y d13 1 io_l49n_y b16 1 io_l49p_y d12 1 io_l50n_y a15 1 io_l50p_y e12 1 io_l51n_yy c15 1 io_l51p_yy c11 1 io_l52n_yy b15 1 io_vref_l52p_yy d11 1 io_l53n_y e11 1 io_l53p_y c14 1 io_l54n_y c10 1 io_l54p_y b14 1 io_l55n_yy a13 1 io_vref_l55p_yy e10 1 io_l56n_yy c13 1 io_l56p_yy c9 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 88 1-800-255-7778 production product specification 1 io_l57n_y d9 1 io_vref_l57p_y a12 2 1 io_l58n_y e9 1 io_l58p_y c12 1 io_l59n_yy b12 1 io_vref_l59p_yy d8 1 io_l60n_yy a11 1 io_l60p_yy e8 1 io_l61n_y c7 1 io_l61p_y a10 1 io_l62n_y c6 1 io_l62p_y b10 1 io_l63n_yy a9 1 io_vref_l63p_yy b9 1 io_l64n_yy a8 1 io_l64p_yy e7 1 io_l65n_y b8 1 io_l65p_y c5 1 io_l66n_y a7 1 io_vref_l66p_y a6 1 io_l67n_y b7 1 io_l67p_y d6 1 io_l68n_y a5 1 io_l68p_y c4 1 io_write_l69n_yy b6 1 io_cs_l69p_yy e6 2ioh2 2ioh3 2ioj1 2iok5 2iom2 2ion1 2ior5 2iou1 2iou4 2iow3 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin # 2ioy3 2 io aa3 2 io_dout_busy_l70p_yy f5 2 io_din_d0_l70n_yy d2 2 io_l71p_y e4 2 io_l71n_y e2 2 io_l72p_y d3 2 io_l72n_y f2 2 io_vref_l73p_y e1 2 io_l73n_y f4 2 io_l74p g2 2 io_l74n e3 2 io_l75p_y f1 2 io_l75n_y g5 2 io_vref_l76p_y g1 2 io_l76n_y f3 2 io_l77p_yy g4 2 io_l77n_yy h1 2 io_l78p_y j2 2 io_l78n_y g3 2 io_l79p_y h5 2 io_l79n_y k2 2 io_vref_l80p_yy h4 2 io_l80n_yy k1 2 io_l81p_yy l2 2 io_l81n_yy l3 2 io_vref_l82p_y l1 2 2 io_l82n_y j5 2 io_l83p_y j4 2 io_l83n_y m3 2 io_vref_l84p_yy j3 2 io_l84n_yy m1 2 io_l85p_yy n2 2 io_l85n_yy k4 2 io_l86p_y n3 2 io_l86n_y k3 2 io_vref_l87p_yy l5 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 89 2 io_d1_l87n_yy p2 2 io_d2_l88p_yy p3 2 io_l88n_yy l4 2 io_l89p_y p1 2 io_l89n_y r2 2 io_l90p_y m5 2 io_l90n_y r3 2 io_l91p_y m4 2 io_l91n_y r1 2 io_l92p n4 2 io_l92n t2 2 io_l93p_y p5 2 io_l93n_y t3 2 io_vref_l94p_y p4 2 io_l94n_y t1 2 io_l95p_yy u2 2 io_l95n_yy r4 2 io_l96p_y u3 2 io_l96n_y t5 2 io_l97p_y t4 2 io_l97n_y v2 2 io_vref_l98p_yy u5 2 io_d3_l98n_yy v3 2 io_l99p_yy v1 2 io_l99n_yy v5 2 io_l100p_y w2 2 io_l100n_y v4 2 io_l101p_y w5 2 io_l101n_y w1 2 io_vref_l102p_yy y2 2 io_l102n_yy w4 2 io_l103p_yy y1 2 io_l103n_yy y5 2 io_vref_l104p_y aa1 1 2 io_l104n_y y4 2 io_l105p_yy aa4 2 io_l105n_yy aa2 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin # 3 io ab4 3ioac2 3ioad1 3 io ae3 3ioaf4 3ioah5 3ioaj2 3ioal1 3ioam3 3 io ap3 3ioar5 3ioau4 3 io ab2 3 io_l106p_y ab3 3 io_vref_l106n_y ac4 1 3 io_l107p_yy ab1 3 io_l107n_yy ac5 3 io_l108p_yy ad4 3 io_vref_l108n_yy ac3 3 io_l109p_y ac1 3 io_l109n_y ad5 3 io_l110p_y ae4 3 io_l110n_y ad3 3 io_l111p_yy ae5 3 io_l111n_yy ad2 3 io_d4_l112p_yy ae1 3 io_vref_l112n_yy af5 3 io_l113p_y ae2 3 io_l113n_y ag4 3 io_l114p_y ag5 3 io_l114n_y af1 3 io_l115p_yy ah4 3 io_l115n_yy af2 3 io_l116p_y af3 3 io_vref_l116n_y aj4 3 io_l117p_y ag1 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 90 1-800-255-7778 production product specification 3 io_l117n_y aj5 3 io_l118p ag2 3 io_l118n ak4 3 io_l119p_y ag3 3 io_l119n_y al4 3 io_l120p_y ah1 3 io_l120n_y al5 3 io_l121p_y ah2 3 io_l121n_y am4 3 io_l122p_yy ah3 3 io_d5_l122n_yy am5 3 io_d6_l123p_yy aj1 3 io_vref_l123n_yy an3 3 io_l124p_y an4 3 io_l124n_y aj3 3 io_l125p_yy an5 3 io_l125n_yy ak1 3 io_l126p_yy ak2 3 io_vref_l126n_yy ap4 3 io_l127p_y ak3 3 io_l127n_y ap5 3 io_l128p_y ar3 3 io_vref_l128n_y al2 2 3 io_l129p_yy ar4 3 io_l129n_yy al3 3 io_l130p_yy am1 3 io_vref_l130n_yy at3 3 io_l131p_y am2 3 io_l131n_y at4 3 io_l132p_y at5 3 io_l132n_y an1 3 io_l133p_yy au3 3 io_l133n_yy an2 3 io_l134p_y ap1 3 io_vref_l134n_y ap2 3 io_l135p_y ar1 3 io_l135n_y av3 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin # 3 io_l136p ar2 3 io_l136n at1 3 io_l137p_y av4 3 io_vref_l137n_y at2 3 io_l138p_y au1 3 io_l138n_y au5 3 io_l139p_y au2 3 io_l139n_y aw3 3 io_d7_l140p_yy av1 3 io_init_l140n_yy aw5 4gck0ba22 4ioav17 4ioay11 4ioay12 4ioay13 4ioay14 4 io ba8 4ioba17 4ioba19 4ioba20 4ioba21 4 io bb9 4iobb18 4 io_l141p_yy av6 4 io_l141n_yy ba4 4 io_l142p_y ay4 4 io_l142n_y ba5 4 io_l143p_y aw6 4 io_l143n_y bb5 4 io_vref_l144p_y ba6 4 io_l144n_y ay5 4 io_l145p_y bb6 4 io_l145n_y ay6 4 io_l146p_yy ba7 4 io_l146n_yy av7 4 io_vref_l147p_yy bb7 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 91 4 io_l147n_yy aw7 4 io_l148p_y ay7 4 io_l148n_y bb8 4 io_l149p_y ba9 4 io_l149n_y av8 4 io_l150p_yy aw8 4 io_l150n_yy ba10 4 io_vref_l151p_yy bb10 4 io_l151n_yy ay8 4 io_l152p_y av9 4 io_l152n_y ba11 4 io_vref_l153p_y bb11 2 4 io_l153n_y aw9 4 io_l154p_yy ay9 4 io_l154n_yy ba12 4 io_vref_l155p_yy bb12 4 io_l155n_yy av10 4 io_l156p_y ba13 4 io_l156n_y aw10 4 io_l157p_y bb13 4 io_l157n_y ay10 4 io_vref_l158p_yy av11 4 io_l158n_yy ba14 4 io_l159p_yy aw11 4 io_l159n_yy bb14 4 io_l160p_y av12 4 io_l160n_y ba15 4 io_l161p_y aw12 4 io_l161n_y ay15 4 io_l162p_y aw13 4 io_l162n_y bb15 4 io_l163p_y av14 4 io_l163n_y ba16 4 io_l164p_yy aw14 4 io_l164n_yy ay16 4 io_vref_l165p_yy bb16 4 io_l165n_yy av15 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin # 4 io_l166p_y ay17 4 io_l166n_y aw15 4 io_l167p_y bb17 4 io_l167n_y au16 4 io_l168p_yy av16 4 io_l168n_yy ay18 4 io_vref_l169p_yy aw16 4 io_l169n_yy ba18 4 io_l170p_y bb19 4 io_l170n_y aw17 4 io_l171p_y ay19 4 io_l171n_y av18 4 io_l172p_yy aw18 4 io_l172n_yy bb20 4 io_vref_l173p_yy ay20 4 io_l173n_yy av19 4 io_l174p_y bb21 4 io_l174n_y aw19 4 io_vref_l175p_y ay21 1 4 io_l175n_y av20 4 io_lvds_dll_l176p aw20 5gck1ay22 5ioav24 5ioav34 5ioaw27 5ioaw36 5ioay23 5ioay31 5ioay33 5ioba26 5ioba29 5ioba33 5iobb25 5 io_lvds_dll_l176n aw21 5 io_l177p_y bb22 5 io_vref_l177n_y aw22 1 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 92 1-800-255-7778 production product specification 5 io_l178p_y bb23 5 io_l178n_y aw23 5 io_l179p_yy av23 5 io_vref_l179n_yy ba23 5 io_l180p_yy aw24 5 io_l180n_yy bb24 5 io_l181p_y ay24 5 io_l181n_y aw25 5 io_l182p_y ba24 5 io_l182n_y av25 5 io_l183p_yy aw26 5 io_vref_l183n_yy ay25 5 io_l184p_yy av26 5 io_l184n_yy ba25 5 io_l185p_y bb26 5 io_l185n_y av27 5 io_l186p_y ay26 5 io_l186n_y au27 5 io_l187p_yy aw28 5 io_vref_l187n_yy bb27 5 io_l188p_yy ay27 5 io_l188n_yy av28 5 io_l189p_y ba27 5 io_l189n_y aw29 5 io_l190p_y bb28 5 io_l190n_y av29 5 io_l191p_y ay28 5 io_l191n_y aw30 5 io_l192p_y ba28 5 io_l192n_y aw31 5 io_l193p_yy bb29 5 io_l193n_yy av31 5 io_l194p_yy ay29 5 io_vref_l194n_yy ay32 5 io_l195p_y aw32 5 io_l195n_y bb30 5 io_l196p_y av32 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin # 5 io_l196n_y ay30 5 io_l197p_yy ba30 5 io_vref_l197n_yy aw33 5 io_l198p_yy bb31 5 io_l198n_yy av33 5 io_l199p_y ay34 5 io_vref_l199n_y ba31 2 5 io_l200p_y aw34 5 io_l200n_y bb32 5 io_l201p_yy ba32 5 io_vref_l201n_yy ay35 5 io_l202p_yy bb33 5 io_l202n_yy aw35 5 io_l203p_y av35 5 io_l203n_y bb34 5 io_l204p_y ay36 5 io_l204n_y ba34 5 io_l205p_yy bb35 5 io_vref_l205n_yy av36 5 io_l206p_yy ba35 5 io_l206n_yy ay37 5 io_l207p_y bb36 5 io_l207n_y ba36 5 io_l208p_y aw37 5 io_vref_l208n_y bb37 5 io_l209p_y ba37 5 io_l209n_y ay38 5 io_l210p_y bb38 5 io_l210n_y ay39 6ioaa40 6ioab41 6ioac42 6ioad39 6ioae40 6ioaf38 6ioaf40 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 93 6ioaj40 6ioal41 6ioan38 6ioan42 6 io ap41 6ioar39 6 io_l211n_yy av41 6 io_l211p_yy av42 6 io_l212n_y aw40 6 io_l212p_y au41 6 io_l213n_y av39 6 io_l213p_y au42 6 io_vref_l214n_y at41 6 io_l214p_y au38 6 io_l215n at42 6 io_l215p av40 6 io_l216n_y ar41 6 io_l216p_y au39 6 io_vref_l217n_y ar42 6 io_l217p_y au40 6 io_l218n_yy at38 6 io_l218p_yy ap42 6 io_l219n_y an41 6 io_l219p_y at39 6 io_l220n_y at40 6 io_l220p_y am40 6 io_vref_l221n_yy ar38 6 io_l221p_yy am41 6 io_l222n_yy am42 6 io_l222p_yy ar40 6 io_vref_l223n_y al40 2 6 io_l223p_y ap38 6 io_l224n_y ap39 6 io_l224p_y al42 6 io_vref_l225n_yy ap40 6 io_l225p_yy ak40 6 io_l226n_yy ak41 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin # 6 io_l226p_yy an39 6 io_l227n_y ak42 6 io_l227p_y an40 6 io_vref_l228n_yy am38 6 io_l228p_yy aj41 6 io_l229n_yy aj42 6 io_l229p_yy am39 6 io_l230n_y ah40 6 io_l230p_y ah41 6 io_l231n_y al38 6 io_l231p_y ah42 6 io_l232n_y al39 6 io_l232p_y ag41 6 io_l233n ak39 6 io_l233p ag40 6 io_l234n_y aj38 6 io_l234p_y ag42 6 io_vref_l235n_y af42 6 io_l235p_y aj39 6 io_l236n_yy af41 6 io_l236p_yy ah38 6 io_l237n_y ae42 6 io_l237p_y ah39 6 io_l238n_y ag38 6 io_l238p_y ae41 6 io_vref_l239n_yy ag39 6 io_l239p_yy ad42 6 io_l240n_yy ad40 6 io_l240p_yy af39 6 io_l241n_y ad41 6 io_l241p_y ae38 6 io_l242n_y ae39 6 io_l242p_y ac40 6 io_vref_l243n_yy ad38 6 io_l243p_yy ac41 6 io_l244n_yy ab42 6 io_l244p_yy ac38 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 94 1-800-255-7778 production product specification 6 io_vref_l245n_y ab40 1 6 io_l245p_y ac39 7iof38 7ioh40 7ioh41 7ioj42 7iok39 7 io l42 7ion40 7iot40 7iou40 7iov38 7iow42 7ioy42 7 io aa42 7 io_l246n_yy aa41 7 io_l246p_yy ab39 7 io_l247n_y y41 7 io_vref_l247p_y aa39 1 7 io_l248n_yy y40 7 io_l248p_yy y39 7 io_l249n_yy y38 7 io_vref_l249p_yy w41 7 io_l250n_y w40 7 io_l250p_y w39 7 io_l251n_y w38 7 io_l251p_y v41 7 io_l252n_yy v39 7 io_l252p_yy v40 7 io_l253n_yy v42 7 io_vref_l253p_yy u39 7 io_l254n_y u41 7 io_l254p_y u38 7 io_l255n_y u42 7 io_l255p_y t39 7 io_l256n_yy t41 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin # 7 io_l256p_yy t38 7 io_l257n_y r39 7 io_vref_l257p_y t42 7 io_l258n_y r42 7 io_l258p_y r38 7 io_l259n r40 7 io_l259p p39 7 io_l260n_y r41 7 io_l260p_y p38 7 io_l261n_y p42 7 io_l261p_y n39 7 io_l262n_y p40 7 io_l262p_y m39 7 io_l263n_yy p41 7 io_l263p_yy m38 7 io_l264n_yy n42 7 io_vref_l264p_yy l39 7 io_l265n_y l38 7 io_l265p_y n41 7 io_l266n_yy k40 7 io_l266p_yy m42 7 io_l267n_yy m40 7 io_vref_l267p_yy k38 7 io_l268n_y m41 7 io_l268p_y j40 7 io_l269n_y j39 7 io_vref_l269p_y l40 7 io_l270n_yy j38 7 io_l270p_yy l41 7 io_l271n_yy k42 7 io_vref_l271p_yy h39 7 io_l272n_y k41 7 io_l272p_y h38 7 io_l273n_y j41 7 io_l273p_y g40 7 io_l274n_yy h42 7 io_l274p_yy g39 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 95 7 io_l275n_y g38 7 io_vref_l275p_y g42 7 io_l276n_y g41 7 io_l276p_y f40 7 io_l277n f42 7 io_l277p f41 7 io_l278n_y f39 7 io_vref_l278p_y e42 7 io_l279n_y e40 7 io_l279p_y e41 7 io_l280n_y e39 7 io_l280p_y d41 2 cclk b4 3doneaw2 na dxn ba38 na dxp aw38 na m0 aw41 na m1 av37 na m2 ba39 na program av2 na tck b38 na tdi b5 2 tdo d5 na tms b39 na vccint f9 na vccint f10 na vccint f17 na vccint f18 na vccint f25 na vccint f26 na vccint f33 na vccint f34 na vccint j6 na vccint j37 na vccint k6 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin # na vccint k37 na vccint t6 na vccint t37 na vccint u6 na vccint u37 na vccint v6 na vccint v37 na vccint ae6 na vccint ae37 na vccint af6 na vccint af37 na vccint ag6 na vccint ag37 na vccint an6 na vccint an37 na vccint ap6 na vccint ap37 na vccint au9 na vccint au10 na vccint au17 na vccint au18 na vccint au25 na vccint au26 na vccint au33 na vccint au34 na vcco_0 f23 na vcco_0 f24 na vcco_0 f28 na vcco_0 f29 na vcco_0 f31 na vcco_0 f32 na vcco_0 f35 na vcco_0 f36 na vcco_1 f11 na vcco_1 f12 na vcco_1 f14 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 96 1-800-255-7778 production product specification na vcco_1 f15 na vcco_1 f19 na vcco_1 f20 na vcco_1 f7 na vcco_1 f8 na vcco_2 g6 na vcco_2 h6 na vcco_2 l6 na vcco_2 m6 na vcco_2 p6 na vcco_2 r6 na vcco_2 w6 na vcco_2 y6 na vcco_3 ac6 na vcco_3 ad6 na vcco_3 ah6 na vcco_3 aj6 na vcco_3 al6 na vcco_3 am6 na vcco_3 ar6 na vcco_3 at6 na vcco_4 au11 na vcco_4 au12 na vcco_4 au14 na vcco_4 au15 na vcco_4 au19 na vcco_4 au20 na vcco_4 au7 na vcco_4 au8 na vcco_5 au23 na vcco_5 au24 na vcco_5 au28 na vcco_5 au29 na vcco_5 au31 na vcco_5 au32 na vcco_5 au35 na vcco_5 au36 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin # na vcco_6 ac37 na vcco_6 ad37 na vcco_6 ah37 na vcco_6 aj37 na vcco_6 al37 na vcco_6 am37 na vcco_6 ar37 na vcco_6 at37 na vcco_7 g37 na vcco_7 h37 na vcco_7 l37 na vcco_7 m37 na vcco_7 p37 na vcco_7 r37 na vcco_7 w37 na vcco_7 y37 na gnd n6 na gnd n5 na gnd n38 na gnd n37 na gnd f6 na gnd f37 na gnd f30 na gnd f22 na gnd f21 na gnd f13 na gnd e5 na gnd e38 na gnd e30 na gnd e22 na gnd e21 na gnd e13 na gnd d42 na gnd d4 na gnd d39 na gnd d1 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 97 na gnd c42 na gnd c41 na gnd c40 na gnd c3 na gnd c2 na gnd c1 na gnd bb41 na gnd bb40 na gnd bb4 na gnd bb39 na gnd bb3 na gnd bb2 na gnd ba42 na gnd ba41 na gnd ba40 na gnd ba3 na gnd ba2 na gnd ba1 na gnd b42 na gnd b41 na gnd b40 na gnd b3 na gnd b2 na gnd b1 na gnd ay42 na gnd ay41 na gnd ay40 na gnd ay3 na gnd ay2 na gnd ay1 na gnd aw42 na gnd aw4 na gnd aw39 na gnd aw1 na gnd av5 na gnd av38 na gnd av30 table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin # na gnd av22 na gnd av21 na gnd av13 na gnd au6 na gnd au37 na gnd au30 na gnd au22 na gnd au21 na gnd au13 na gnd ak6 na gnd ak5 na gnd ak38 na gnd ak37 na gnd ab6 na gnd ab5 na gnd ab38 na gnd ab37 na gnd aa6 na gnd aa5 na gnd aa38 na gnd aa37 na gnd a41 na gnd a40 na gnd a4 na gnd a39 na gnd a3 na gnd a2 notes: 1. v ref or i/o option only in the xcv1600e, 2000e; otherwise, i/o option only. 2. v ref or i/o option only in the xcv2000e; otherwise, i/o option only. table 24: fg860 ? xcv1000e, xcv1600e, xcv2000e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 98 1-800-255-7778 production product specification fg860 differential pin pairs virtex-e devices have differential pin pairs that can also pro- vide other functions when not used as a differential pair. a in the ao column indicates that the pin pair can be used as an asynchronous output for all devices provided in this package. pairs with a note number in the ao column are device dependent. they can have asynchronous outputs if the pin pair are in the same clb row and column in the device. numbers in this column refer to footnotes that indi- cate which devices have pin pairs than can be asynchro- nous outputs. the other functions column indicates alternative function(s) not available when the pair is used as a differential pair or differential clock. table 25: fg860 differential pin pair summary xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions global differential clock 3 0 c22 a22 na io_dll_l34n 2 1 b22 d22 na io_dll_l34p 1 5 ay22 aw21 na io_dll_l176n 0 4 ba22 aw20 na io_dll_l176p io lvds total pairs: 281, asynchronous output pairs: 111 00d38a382 - 10e37b371 - 20c39a371 vref 30c38b361 - 40b35a36 - 50d37a35 vref 60a34c375 - 70b33e365 - 80c32a33 - 90b32c36 vref 100d35a321 - 11 0 c35 c31 1 vref 12 0 a31 e34 - 130c30d34 vref 14 0 e33 b30 2 - 150d33a302 - 16 0 b29 c33 vref 17 0 a29 e32 - 18 0 c28 d32 2 - 19 0 b28 e31 1 - 20 0 a28 d31 1 - 21 0 c27 d30 5 - 22 0 b27 e29 - 23 0 a27 d29 vref 24 0 d28 c26 5 - 25 0 f27 b26 5 - 26 0 c25 e27 - 27 0 b25 d27 vref 28 0 d26 a25 1 - 29 0 e25 a24 1 - 30 0 b24 d25 - 31 0 a23 e24 vref 32 0 e23 c23 2 - 33 0 d23 b23 2 vref 34 1 d22 a22 na io_lvds_dll 35 1 b21 d21 2 vref 36 1 a21 d20 2 - 37 1 d19 c20 vref 38 1 e19 b20 - 39 1 a19 d18 1 - 40 1 c19 e18 1 - 41 1 e17 b19 vref 42 1 d16 a18 - 43 1 b18 e16 5 - 44 1 a17 f16 5 - 45 1 e15 c17 vref 46 1 d14 b17 - 47 1 e14 a16 5 - 48 1 d13 c16 1 - 49 1 d12 b16 1 - 50 1 e12 a15 2 - 51 1 c11 c15 - table 25: fg860 differential pin pair summary xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 99 521d11b15 vref 531c14e112 - 54 1 b14 c10 2 - 55 1 e10 a13 vref 56 1 c9 c13 - 57 1 a12 d9 1 vref 581c12e91 - 59 1 d8 b12 vref 60 1 e8 a11 - 61 1 a10 c7 5 - 62 1 b10 c6 5 - 63 1 b9 a9 vref 64 1 e7 a8 - 65 1 c5 b8 5 - 66 1 a6 a7 1 vref 67 1 d6 b7 1 - 68 1 c4 a5 2 - 69 1 e6 b6 cs 70 2 f5 d2 din, d0 71 2 e4 e2 3 - 72 2 d3 f2 1 - 73 2 e1 f4 2 vref 742 g2e34 - 75 2 f1 g5 2 - 762 g1f31 vref 77 2 g4 h1 - 78 2 j2 g3 2 - 79 2 h5 k2 1 - 80 2 h4 k1 vref 81 2 l2 l3 - 82 2 l1 j5 5 vref 83 2 j4 m3 2 - 84 2 j3 m1 vref 85 2 n2 k4 - table 25: fg860 differential pin pair summary xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions 86 2 n3 k3 2 - 87 2 l5 p2 d1 88 2 p3 l4 d2 89 2 p1 r2 3 - 90 2 m5 r3 1 - 91 2 m4 r1 2 - 92 2 n4 t2 4 - 93 2 p5 t3 2 - 94 2 p4 t1 1 vref 95 2 u2 r4 - 96 2 u3 t5 2 - 97 2 t4 v2 1 - 98 2 u5 v3 d3 99 2 v1 v5 - 100 2 w2 v4 5 - 101 2 w5 w1 2 - 102 2 y2 w4 vref 103 2 y1 y5 - 104 2 aa1 y4 2 vref 105 2 aa4 aa2 - 106 3 ab3 ac4 2 vref 107 3 ab1 ac5 - 108 3 ad4 ac3 vref 109 3 ac1 ad5 2 - 110 3 ae4 ad3 5 - 111 3 ae5 ad2 - 112 3 ae1 af5 vref 113 3 ae2 ag4 1 - 114 3 ag5 af1 2 - 115 3 ah4 af2 - 116 3 af3 aj4 1 vref 117 3 ag1 aj5 2 - 118 3 ag2 ak4 4 - 119 3 ag3 al4 2 - table 25: fg860 differential pin pair summary xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 100 1-800-255-7778 production product specification 120 3 ah1 al5 1 - 121 3 ah2 am4 3 - 122 3 ah3 am5 d5 123 3 aj1 an3 vref 124 3 an4 aj3 2 - 125 3 an5 ak1 - 126 3 ak2 ap4 vref 127 3 ak3 ap5 2 - 128 3 ar3 al2 5 vref 129 3 ar4 al3 - 130 3 am1 at3 vref 131 3 am2 at4 1 - 132 3 at5 an1 2 - 133 3 au3 an2 - 134 3 ap1 ap2 1 vref 135 3 ar1 av3 2 - 136 3 ar2 at1 4 - 137 3 av4 at2 2 vref 138 3 au1 au5 1 - 139 3 au2 aw3 3 - 140 3 av1 aw5 init 141 4 av6 ba4 - 142 4 ay4 ba5 2 - 143 4 aw6 bb5 1 - 144 4 ba6 ay5 1 vref 145 4 bb6 ay6 5 - 146 4 ba7 av7 - 147 4 bb7 aw7 vref 148 4 ay7 bb8 5 - 149 4 ba9 av8 5 - 150 4 aw8 ba10 - 151 4 bb10 ay8 vref 152 4 av9 ba11 1 - 153 4 bb11 aw9 1 vref table 25: fg860 differential pin pair summary xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions 154 4 ay9 ba12 - 1554bb12av10 vref 1564ba13aw102 - 1574bb13ay102 - 158 4 av11 ba14 vref 159 4 aw11 bb14 - 160 4 av12 ba15 2 - 161 4 aw12 ay15 1 - 162 4 aw13 bb15 1 - 163 4 av14 ba16 5 - 164 4 aw14 ay16 - 1654bb16av15 vref 166 4 ay17 aw15 5 - 1674bb17au165 - 168 4 av16 ay18 - 169 4 aw16 ba18 vref 1704bb19aw171 - 171 4 ay19 av18 1 - 172 4 aw18 bb20 - 173 4 ay20 av19 vref 1744bb21aw192 - 175 4 ay21 av20 2 vref 176 5 aw20 aw21 na io_lvds_dll 177 5 bb22 aw22 2 vref 1785bb23aw232 - 179 5 av23 ba23 vref 180 5 aw24 bb24 - 181 5 ay24 aw25 1 - 1825ba24av251 - 183 5 aw26 ay25 vref 184 5 av26 ba25 - 1855bb26av275 - 186 5 ay26 au27 5 - 187 5 aw28 bb27 vref table 25: fg860 differential pin pair summary xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 101 188 5 ay27 av28 - 189 5 ba27 aw29 5 - 190 5 bb28 av29 1 - 191 5 ay28 aw30 1 - 192 5 ba28 aw31 2 - 193 5 bb29 av31 - 194 5 ay29 ay32 vref 195 5 aw32 bb30 2 - 196 5 av32 ay30 2 - 197 5 ba30 aw33 vref 198 5 bb31 av33 - 199 5 ay34 ba31 1 vref 200 5 aw34 bb32 1 - 201 5 ba32 ay35 vref 202 5 bb33 aw35 - 203 5 av35 bb34 5 - 204 5 ay36 ba34 5 - 205 5 bb35 av36 vref 206 5 ba35 ay37 - 207 5 bb36 ba36 5 - 208 5 aw37 bb37 1 vref 209 5 ba37 ay38 1 - 210 5 bb38 ay39 2 - 211 6 av42 av41 - 2126au41aw403 - 2136au42av391 - 214 6 au38 at41 2 vref 215 6 av40 at42 4 - 216 6 au39 ar41 2 - 217 6 au40 ar42 1 vref 218 6 ap42 at38 - 219 6 at39 an41 2 - 220 6 am40 at40 1 - 221 6 am41 ar38 vref table 25: fg860 differential pin pair summary xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions 222 6 ar40 am42 - 223 6 ap38 al40 5 vref 224 6 al42 ap39 2 - 2256ak40ap40 vref 226 6 an39 ak41 - 227 6 an40 ak42 2 - 228 6 aj41 am38 vref 229 6 am39 aj42 - 230 6 ah41 ah40 3 - 231 6 ah42 al38 1 - 232 6 ag41 al39 2 - 233 6 ag40 ak39 4 - 234 6 ag42 aj38 2 - 235 6 aj39 af42 1 vref 236 6 ah38 af41 - 237 6 ah39 ae42 2 - 2386ae41ag381 - 239 6 ad42 ag39 vref 240 6 af39 ad40 - 2416ae38ad415 - 2426ac40ae392 - 243 6 ac41 ad38 vref 2446ac38ab42 - 245 6 ac39 ab40 2 vref 2467ab39aa41 - 247 7 aa39 y41 2 vref 248 7 y39 y40 - 249 7 w41 y38 vref 250 7 w39 w40 2 - 251 7 v41 w38 5 - 252 7 v40 v39 - 253 7 u39 v42 vref 254 7 u38 u41 1 - 255 7 t39 u42 2 - table 25: fg860 differential pin pair summary xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 102 1-800-255-7778 production product specification fg900 fine-pitch ball grid array package xcv600e, xcv1000e, and xcv1600e devices in the fg900 fine-pitch ball grid array package have footprint compatibility. pins labeled i0_vref can be used as either in all parts unless device-dependent as indicated in the foot- notes. if the pin is not used as v ref , it can be used as gen- eral i/o. immediately following table 26 , see table 27 for differential pair information. 256 7 t38 t41 - 257 7 t42 r39 1 vref 258 7 r38 r42 2 - 259 7 p39 r40 4 - 260 7 p38 r41 2 - 261 7 n39 p42 1 - 262 7 m39 p40 3 - 263 7 m38 p41 - 264 7 l39 n42 vref 265 7 n41 l38 2 - 266 7 m42 k40 - 267 7 k38 m40 vref 268 7 j40 m41 2 - 269 7 l40 j39 5 vref 270 7 l41 j38 - 271 7 h39 k42 vref 272 7 h38 k41 1 - 273 7 g40 j41 2 - 274 7 g39 h42 - 275 7 g42 g38 1 vref 276 7 f40 g41 2 - 277 7 f41 f42 4 - 278 7 e42 f39 2 vref 279 7 e41 e40 1 - 280 7 d41 e39 3 - notes: 1. ao in the xcv1000e, 2000e. 2. ao in the xcv1000e, 1600e. 3. ao in the xcv2000e. 4. ao in the xcv1600e. 5. ao in the xcv1000e. table 25: fg860 differential pin pair summary xcv1000e, xcv1600e, xcv2000e pair bank p pin n pin ao other functions table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin # 0gck3c15 0ioa7 4 0ioa13 4 0ioc5 4 0ioc6 4 0ioc14 4 0iod8 5 0iod10 0iod13 4 0io e6 0ioe9 5 0ioe14 5 0iof9 4 0iof14 5 0iog15 0iok11 5 0iok12 0 io l13 4 0 io_l0n_yy c4 4 0 io_l0p_yy f7 3 0 io_l1n_y d5 0 io_l1p_y g8 0 io_vref_l2n_y a3 1 0 io_l2p_y h9 0 io_l3n_y b4 4 0 io_l3p_y j10 4 0 io_l4n_yy a4 0 io_l4p_yy d6 0 io_vref_l5n_yy e7 0 io_l5p_yy b5
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 103 0 io_l6n_y a5 0io_l6p_y f8 0 io_l7n_y d7 0 io_l7p_y n11 0 io_l8n_yy g9 0 io_l8p_yy e8 0 io_vref_l9n_yy a6 0 io_l9p_yy j11 0 io_l10n_y c7 0 io_l10p_y b7 0 io_l11n_y c8 0 io_l11p_y h10 0 io_l12n_yy g10 0 io_l12p_yy f10 0 io_vref_l13n_yy a8 0 io_l13p_yy h11 0 io_l14n d9 4 0 io_l14p c9 3 0 io_l15n_yy b9 0 io_l15p_yy j12 0 io_l16n e10 4 0 io_vref_l16p a9 0 io_l17n g11 0 io_l17p b10 0 io_l18n_yy h12 4 0 io_l18p_yy c10 4 0 io_l19n_y h13 0 io_l19p_y f11 0 io_l20n_y e11 0 io_l20p_y d11 0 io_l21n_y b11 4 0 io_l21p_y g12 4 0 io_l22n_yy f12 0 io_l22p_yy c11 0 io_vref_l23n_yy a10 1 0 io_l23p_yy d12 0 io_l24n_y e12 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin # 0 io_l24p_y a11 0 io_l25n_y g13 0 io_l25p_y b12 0 io_l26n_yy a12 0 io_l26p_yy k13 0 io_vref_l27n_yy f13 0 io_l27p_yy b13 0 io_l28n_y g14 0 io_l28p_y e13 0 io_l29n_y d14 0 io_l29p_y b14 0 io_l30n_yy a14 0 io_l30p_yy j14 0 io_vref_l31n_yy k14 0 io_l31p_yy j15 0 io_l32n b15 4 0 io_l32p h15 3 0 io_vref_l33n_yy f15 2,3 0 io_l33p_yy d15 4 0 io_lvds_dll_l34n a15 1gck2e15 1ioa25 4 1iob17 4 1iob18 4 1ioc23 4 1iod16 4 1iod17 5 1iod23 4 1ioe19 4 1ioe24 5 1iof22 4 1iog17 5 1iog20 4 1ioj16 4 1ioj17 4 1ioj19 5 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 104 1-800-255-7778 production product specification 1ioj20 5 1 io l18 4 1 io_lvds_dll_l34p e16 1 io_l35n_yy b16 1 io_vref_l35p_yy f16 2 1 io_l36n_yy a16 1 io_l36p_yy h16 1 io_l37n_yy c16 1 io_vref_l37p_yy k15 1 io_l38n_yy k16 1 io_l38p_yy g16 1 io_l39n_y a17 1 io_l39p_y e17 1 io_l40n_y f17 1 io_l40p_y c17 1 io_l41n_yy e18 1 io_vref_l41p_yy a18 1 io_l42n_yy d18 1 io_l42p_yy a19 1 io_l43n_y b19 1 io_l43p_y g18 1 io_l44n_y d19 1 io_l44p_y h18 1 io_l45n_yy f18 1 io_vref_l45p_yy f19 1 1 io_l46n_yy b20 1 io_l46p_yy k17 1 io_l47n_y d20 4 1 io_l47p_y a20 4 1 io_l48n_y g19 1 io_l48p_y c20 1 io_l49n_y k18 1 io_l49p_y e20 1 io_l50n_yy b21 4 1 io_l50p_yy d21 4 1 io_l51n_yy f20 1 io_l51p_yy a21 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin # 1 io_l52n_yy c21 1 io_vref_l52p_yy a22 1 io_l53n_yy h19 1 io_l53p_yy b22 1 io_l54n_yy e21 1 io_l54p_yy d22 1 io_l55n_yy f21 1 io_vref_l55p_yy c22 1 io_l56n_yy h20 1 io_l56p_yy e22 1 io_l57n_y g21 1 io_l57p_y a23 1 io_l58n_y a24 1 io_l58p_y k19 1 io_l59n_yy c24 1 io_vref_l59p_yy b24 1 io_l60n_yy h21 1 io_l60p_yy g22 1 io_l61n_y e23 1 io_l61p_y c25 1 io_l62n_y d24 1 io_l62p_y a26 1 io_l63n_yy b26 1 io_vref_l63p_yy k20 1 io_l64n_yy d25 1 io_l64p_yy j21 1 io_l65n_y c26 4 1 io_l65p_y f23 4 1 io_l66n_y b27 1 io_vref_l66p_y g23 1 1 io_l67n_y a27 1 io_l67p_y f24 1 io_l68n_yy b28 3 1 io_l68p_yy a28 4 1 io_write_l69n_yy k21 1 io_cs_l69p_yy c27 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 105 2iod29 5 2iog26 4 2ioh24 4 2ioh25 4 2ioh28 5 2ioj25 4 2ioj27 5 2iok30 4 2iom24 4 2iom25 4 2ion20 2ion23 4 2iop26 5 2iop27 5 2iop30 4 2ior30 2 io_dout_busy_l70p_yy j22 2 io_din_d0_l70n_yy e27 2 io_l71p c29 4 2 io_l71n d28 3 2 io_l72p_y g25 2 io_l72n_y e25 2 io_vref_l73p_yy e28 1 2 io_l73n_yy c30 2 io_l74p_y k22 4 2 io_l74n_y f27 3 2 io_l75p_yy d30 2 io_l75n_yy j23 2 io_vref_l76p_y l21 2 io_l76n_y f28 2 io_l77p_yy g28 2 io_l77n_yy e30 2 io_l78p_yy g27 2 io_l78n_yy e29 2 io_l79p k23 2 io_l79n h26 2 io_vref_l80p_yy f30 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin # 2 io_l80n_yy l22 2 io_l81p_yy h27 2 io_l81n_yy g29 2 io_l82p g30 2 io_l82n m21 2 io_l83p_yy j24 2 io_l83n_yy j26 2 io_vref_l84p_yy h30 2 io_l84n_yy l23 2 io_l85p_yy k26 4 2 io_l85n_yy j28 3 2 io_l86p_yy j29 2 io_l86n_yy k24 2 io_l87p_yy k27 4 2 io_vref_l87n_yy j30 2 io_d1_l88p m22 2 io_d2_l88n k29 2 io_l89p_yy k28 3 2 io_l89n_yy l25 4 2 io_l90p n21 2 io_l90n k25 2 io_l91p_yy l24 2 io_l91n_yy l27 2 io_l92p_y l29 4 2 io_l92n_y m23 4 2 io_l93p_yy l26 2 io_l93n_yy l28 2 io_vref_l94p l30 1 2 io_l94n m27 2 io_l95p_yy m26 2 io_l95n_yy m29 2 io_l96p_yy n29 2 io_l96n_yy m30 2 io_l97p n25 2 io_l97n n27 2 io_vref_l98p_yy n30 2 io_d3_l98n_yy p21 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 106 1-800-255-7778 production product specification 2 io_l99p_yy n26 2 io_l99n_yy p28 2 io_l100p p29 2 io_l100n n24 2 io_l101p_yy p22 2 io_l101n_yy r26 2 io_vref_l102p_yy p25 2 io_l102n_yy r29 2 io_l103p_yy r21 4 2 io_l103n_yy r28 3 2 io_vref_l104p_yy r25 2 2 io_l104n_yy t30 2 io_l105p_yy p24 4 2 io_l105n_yy r27 3 2 io_l106p r24 3iot22 4 3iot24 4 3iot26 4 3iot29 4 3iou26 5 3iov23 4 3iov25 4 3iov30 5 3ioy21 4 3 io aa26 4 3 io aa23 4 3 io ab27 4 3 io ab29 4 3ioac28 5 3ioad26 4 3ioad29 5 3 io ae27 5 3 io_l106n u29 3 io_l107p_yy r22 3 io_vref_l107n_yy t27 2 3 io_l108p_yy r23 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin # 3 io_l108n_yy t28 3 io_l109p_yy t21 3 io_vref_l109n_yy t25 3 io_l110p_yy u28 3 io_l110n_yy u30 3 io_l111p t23 3 io_l111n u27 3 io_l112p_yy u25 3 io_l112n_yy v27 3 io_d4_l113p_yy u24 3 io_vref_l113n_yy v29 3 io_l114p w30 3 io_l114n u22 3 io_l115p_yy u21 3 io_l115n_yy w29 3 io_l116p_yy v26 3 io_l116n_yy w27 3 io_l117p w26 3 io_vref_l117n y29 1 3 io_l118p_yy w25 3 io_l118n_yy y30 3 io_l119p_y v24 4 3 io_l119n_y y28 4 3 io_l120p_yy aa30 3 io_l120n_yy w24 3 io_l121p aa29 3 io_l121n v20 3 io_l122p y27 4 3 io_l122n w23 4 3 io_l123p_yy y26 3 io_d5_l123n_yy ab30 3 io_d6_l124p_yy v21 3 io_vref_l124n_yy aa28 3 io_l125p_yy y25 3 io_l125n_yy aa27 3 io_l126p_yy w22 3 io_l126n_yy y23 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 107 3 io_l127p_yy y24 3 io_vref_l127n_yy ab28 3 io_l128p_yy ac30 3 io_l128n_yy aa25 3 io_l129p w21 3 io_l129n aa24 3 io_l130p_yy ab26 3 io_l130n_yy ad30 3 io_l131p_yy y22 3 io_vref_l131n_yy ac27 3 io_l132p ad28 3 io_l132n ab25 3 io_l133p_yy ac26 3 io_l133n_yy ae30 3 io_l134p_yy ad27 3 io_l134n_yy af30 3 io_l135p af29 3 io_vref_l135n ab24 3 io_l136p_yy ab23 3 io_l136n_yy ae28 3 io_l137p_y ag30 3 3 io_l137n_y ac25 4 3 io_l138p_yy ae26 3 io_vref_l138n_yy ag29 1 3 io_l139p ah30 3 io_l139n ac24 3 io_l140p af28 3 3 io_l140n ad25 4 3 io_d7_l141p_yy ah29 3 io_init_l141n_yy aa22 4gck0aj16 4 io ab19 4 4ioac16 4 4ioac19 4ioad18 4 4ioad21 4 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin # 4ioae15 4 4ioae18 4 4 io ae21 4ioae24 5 4ioaf17 5 4ioaf18 5 4ioaj18 4 4 io ak18 4ioak25 5 4ioak27 4 4ioah23 4 4ioah24 5 4 io_l142p_yy af27 4 io_l142n_yy ak28 4 io_l143p_yy ag26 4 4 io_l143n_yy ah27 3 4 io_l144p ad23 4 io_l144n aj27 4 io_vref_l145p ab21 1 4 io_l145n af25 4 io_l146p ac22 4 4 io_l146n ah26 4 4 io_l147p_yy aa21 4 io_l147n_yy ag25 4 io_vref_l148p_yy aj26 4 io_l148n_yy ad22 4 io_l149p aa20 4 io_l149n ah25 4 io_l150p ac21 4 io_l150n af24 4 io_l151p_yy ag24 4 io_l151n_yy ak26 4 io_vref_l152p_yy aj24 4 io_l152n_yy af23 4 io_l153p ae23 4 io_l153n ab20 4 io_l154p ac20 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 108 1-800-255-7778 production product specification 4 io_l154n ag23 4 io_l155p_yy af22 4 io_l155n_yy ae22 4 io_vref_l156p_yy aj22 4 io_l156n_yy ag22 4 io_l157p ak24 4 4 io_l157n ad20 3 4 io_l158p_yy aa19 4 io_l158n_yy af21 4 io_l159p ah22 4 4 io_vref_l159n aa18 4 io_l160p ag21 4 io_l160n ak23 4 io_l161p_yy ah21 4 4 io_l161n_yy ad19 4 4 io_l162p ae20 4 io_l162n aj21 4 io_l163p ag20 4 io_l163n af20 4 io_l164p ac18 4 4 io_l164n af19 4 4 io_l165p_yy aj20 4 io_l165n_yy ae19 4 io_vref_l166p_yy ak22 1 4 io_l166n_yy ah20 4 io_l167p ag19 4 io_l167n ab17 4 io_l168p aj19 4 io_l168n ad17 4 io_l169p_yy aa16 4 io_l169n_yy aa17 4 io_vref_l170p_yy ak21 4 io_l170n_yy ab16 4 io_l171p ag18 4 io_l171n ak20 4 io_l172p ak19 4 io_l172n ad16 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin # 4 io_l173p_yy ae16 4 io_l173n_yy ae17 4 io_vref_l174p_yy ag17 4 io_l174n_yy aj17 4 io_l175p ad15 4 4 io_l175n ah17 3 4 io_vref_l176p_yy ag16 2 4 io_l176n_yy ak17 4 io_lvds_dll_l177p af16 5 gck1 ak16 5ioaa11 4 5ioaa14 4 5ioad14 4 5 io ae7 5 5 io ae8 5 5ioae10 4 5ioaf6 4 5ioaf10 4 5ioag9 4 5ioag12 4 5ioag14 5 5ioah8 4 5 io ak6 5 5ioak14 5 5ioaj13 4 5ioaj15 4 5 io_lvds_dll_l177n ah16 5 io_l178p_yy ac15 4 5 io_vref_l178n_yy ag15 2,3 5 io_l179p_yy ab15 5 io_l179n_yy af15 5 io_l180p_yy aa15 5 io_vref_l180n_yy af14 5 io_l181p_yy ah15 5 io_l181n_yy ak15 5 io_l182p ab14 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 109 5 io_l182n af13 5 io_l183p ah14 5 io_l183n aj14 5 io_l184p_yy ae14 5 io_vref_l184n_yy ag13 5 io_l185p_yy ak13 5 io_l185n_yy ad13 5 io_l186p ae13 5 io_l186n af12 5 io_l187p ac13 5 io_l187n aa13 5 io_l188p_yy aa12 5 io_vref_l188n_yy aj12 1 5 io_l189p_yy ab12 5 io_l189n_yy ae11 5 io_l190p ak12 4 5 io_l190n y13 4 5 io_l191p ag11 5 io_l191n af11 5 io_l192p ah11 5 io_l192n aj11 5 io_l193p_yy ae12 4 5 io_l193n_yy ag10 4 5 io_l194p_yy ad12 5 io_l194n_yy ak11 5 io_l195p_yy aj10 5 io_vref_l195n_yy ac12 5 io_l196p_yy ak10 5 io_l196n_yy ad11 5 io_l197p_yy aj9 5 io_l197n_yy ae9 5 io_l198p_yy ah10 5 io_vref_l198n_yy af9 5 io_l199p_yy ah9 5 io_l199n_yy ak9 5 io_l200p af8 5 io_l200n ab11 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin # 5 io_l201p ac11 5 io_l201n ag8 5 io_l202p_yy ak8 5 io_vref_l202n_yy af7 5 io_l203p_yy ag7 5 io_l203n_yy ak7 5 io_l204p aj7 5 io_l204n ad10 5 io_l205p ah6 5 io_l205n ac10 5 io_l206p_yy ad9 5 io_vref_l206n_yy ag6 5 io_l207p_yy ab10 5 io_l207n_yy aj5 5 io_l208p ad8 4 5 io_l208n ak5 4 5 io_l209p ac9 5 io_vref_l209n aj4 1 5 io_l210p ag5 5 io_l210n ak4 5 io_l211p_yy ah5 3 5 io_l211n_yy ag3 4 6iot2 4 6iot10 4 6io u1 6iou4 5 6iou6 4 6iou7 4 6iov1 4 6iov5 5 6io v8 6ioy10 4 6 io aa4 4 6 io ab5 5 6 io ab7 4 6ioac3 5 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 110 1-800-255-7778 production product specification 6ioac5 4 6ioad1 4 6ioae5 5 6 io_l212n_yy af3 6 io_l212p_yy ac6 6 io_l213n ah2 4 6 io_l213p ag2 3 6 io_l214n ab9 6 io_l214p ae4 6 io_vref_l215n_yy ae3 1 6 io_l215p_yy ah1 6 io_l216n_y ab8 4 6 io_l216p_y ad6 3 6 io_l217n_yy ag1 6 io_l217p_yy aa10 6 io_vref_l218n aa9 6 io_l218p ad4 6 io_l219n_yy ad5 6 io_l219p_yy ad2 6 io_l220n_yy ad3 6 io_l220p_yy af2 6 io_l221n aa8 6 io_l221p aa7 6 io_vref_l222n_yy af1 6 io_l222p_yy y9 6 io_l223n_yy ab6 6 io_l223p_yy ac4 6 io_l224n ae1 6 io_l224p w8 6 io_l225n_yy y8 6 io_l225p_yy ab4 6 io_vref_l226n_yy ab3 6 io_l226p_yy w9 6 io_l227n_yy aa5 4 6 io_l227p_yy w10 3 6 io_l228n_yy ab1 6 io_l228p_yy v10 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin # 6 io_l229n_yy y7 4 6 io_vref_l229p_yy ac1 6 io_l230n v11 6 io_l230p aa3 6 io_l231n_yy aa2 3 6 io_l231p_yy u10 4 6 io_l232n w7 6 io_l232p aa6 6 io_l233n_yy y6 6 io_l233p_yy y4 6 io_l234n_y aa1 4 6 io_l234p_y v7 4 6 io_l235n_yy y3 6 io_l235p_yy y2 6 io_vref_l236n y5 1 6 io_l236p w5 6 io_l237n_yy w4 6 io_l237p_yy w6 6 io_l238n_yy v6 6 io_l238p_yy w2 6 io_l239n u9 6 io_l239p v4 6 io_vref_l240n_yy ab2 6 io_l240p_yy t8 6 io_l241n_yy u5 6 io_l241p_yy w1 6 io_l242n y1 6 io_l242p t9 6 io_l243n_yy t7 6 io_l243p_yy u3 6 io_vref_l244n_yy t5 6 io_l244p_yy v2 6 io_l245n_yy r9 4 6 io_l245p_yy t6 3 6 io_vref_l246n_yy t4 2 6 io_l246p_yy u2 6 io_l247n t1 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 111 7io e3 7iof1 4 7iog1 5 7iog4 5 7ioh3 5 7ioj1 4 7ioj3 4 7ioj4 4 7ioj6 4 7 io l10 4 7ion2 4 7ion8 4 7ion10 4 7iop3 5 7iop9 4 7ior1 5 7iot3 4 7 io_l247p r10 7 io_l248n_yy r5 3 7 io_l248p_yy r6 4 7 io_l249n_yy r8 7 io_vref_l249p_yy r4 2 7 io_l250n_yy r7 7 io_l250p_yy r3 7 io_l251n_yy p10 7 io_vref_l251p_yy p6 7 io_l252n_yy p5 7 io_l252p_yy p2 7 io_l253n p7 7 io_l253p p4 7 io_l254n_yy n4 7 io_l254p_yy r2 7 io_l255n_yy n7 7 io_vref_l255p_yy p1 7 io_l256n m6 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin # 7 io_l256p n6 7 io_l257n_yy n5 7 io_l257p_yy n1 7 io_l258n_yy m4 7 io_l258p_yy m5 7 io_l259n m2 7 io_vref_l259p m1 1 7 io_l260n_yy l4 7 io_l260p_yy l2 7 io_l261n_y m7 4 7 io_l261p_y l5 4 7 io_l262n_yy l1 7 io_l262p_yy m8 7 io_l263n k2 7 io_l263p m9 7 io_l264n l3 4 7 io_l264p m10 4 7 io_l265n_yy k5 7 io_l265p_yy k1 7 io_l266n_yy l6 7 io_vref_l266p_yy k3 7 io_l267n_yy l7 7 io_l267p_yy k4 7 io_l268n_yy l8 7 io_l268p_yy j5 7 io_l269n_yy k6 7 io_vref_l269p_yy h4 7 io_l270n_yy h1 7 io_l270p_yy k7 7 io_l271n j7 7 io_l271p j2 7 io_l272n_yy h5 7 io_l272p_yy g2 7 io_l273n_yy l9 7 io_vref_l273p_yy g5 7 io_l274n f3 7 io_l274p k8 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 112 1-800-255-7778 production product specification 7 io_l275n_yy g3 7 io_l275p_yy e1 7 io_l276n_yy h6 7 io_l276p_yy e2 7 io_l277n e4 7 io_vref_l277p k9 7 io_l278n_yy j8 7 io_l278p_yy f4 7 io_l279n_y d1 3 7 io_l279p_y h7 4 7 io_l280n_yy g6 7 io_vref_l280p_yy c2 1 7 io_l281n d2 7 io_l281p f5 7 io_l282n_yy d3 4 7 io_l282p_yy k10 3 2 cclk f26 3doneaj28 na dxn aj3 na dxp ah4 na m0 af4 na m1 ac7 na m2 ak3 na program ag28 na tck b3 na tdi h22 2 tdo d26 na tms c1 na vccint l11 na vccint l12 na vccint l19 na vccint l20 na vccint m11 na vccint m12 na vccint m19 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin # na vccint m20 na vccint n13 na vccint n14 na vccint n15 na vccint n16 na vccint n17 na vccint n18 na vccint p13 na vccint p18 na vccint r13 na vccint r18 na vccint t13 na vccint t18 na vccint u13 na vccint u18 na vccint v13 na vccint v14 na vccint v15 na vccint v16 na vccint v17 na vccint v18 na vccint w11 na vccint w12 na vccint w19 na vccint w20 na vccint y11 na vccint y12 na vccint y19 na vccint y20 na vcco_0 b6 na vcco_0 m15 na vcco_0 m14 na vcco_0 l15 na vcco_0 l14 na vcco_0 h14 na vcco_0 m13 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 113 na vcco_0 c12 na vcco_1 b25 na vcco_1 c19 na vcco_1 m18 na vcco_1 m17 na vcco_1 l17 na vcco_1 h17 na vcco_1 l16 na vcco_1 m16 na vcco_2 f29 na vcco_2 m28 na vcco_2 p23 na vcco_2 r20 na vcco_2 p20 na vcco_2 r19 na vcco_2 n19 na vcco_2 p19 na vcco_3 ae29 na vcco_3 w28 na vcco_3 u23 na vcco_3 u20 na vcco_3 t20 na vcco_3 v19 na vcco_3 t19 na vcco_3 u19 na vcco_4 aj25 na vcco_4 ah19 na vcco_4 w18 na vcco_4 ac17 na vcco_4 y17 na vcco_4 w17 na vcco_4 w16 na vcco_4 y16 na vcco_5 aj6 na vcco_5 y15 na vcco_5 w15 na vcco_5 ac14 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin # na vcco_5 y14 na vcco_5 w14 na vcco_5 w13 na vcco_5 ah12 na vcco_6 ae2 na vcco_6 v12 na vcco_6 u12 na vcco_6 t12 na vcco_6 u11 na vcco_6 t11 na vcco_6 u8 na vcco_6 w3 na vcco_7 f2 na vcco_7 r12 na vcco_7 p12 na vcco_7 n12 na vcco_7 r11 na vcco_7 p11 na vcco_7 p8 na vcco_7 m3 na gnd y18 na gnd ah7 na gnd ak30 na gnd aj30 na gnd b30 na gnd a30 na gnd ak29 na gnd aj29 na gnd ac29 na gnd h29 na gnd b29 na gnd a29 na gnd ah28 na gnd v28 na gnd n28 na gnd c28 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 114 1-800-255-7778 production product specification na gnd ag27 na gnd d27 na gnd af26 na gnd e26 na gnd f25 na gnd ae25 na gnd g24 na gnd aj23 na gnd ad24 na gnd h23 na gnd b23 na gnd ac23 na gnd ab22 na gnd v22 na gnd n22 na gnd ah18 na gnd ab18 na gnd j18 na gnd c18 na gnd u17 na gnd t17 na gnd r17 na gnd p17 na gnd u16 na gnd t16 na gnd r16 na gnd p16 na gnd u15 na gnd t15 na gnd r15 na gnd p15 na gnd u14 na gnd t14 na gnd r14 na gnd p14 na gnd ah13 na gnd ab13 table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin # na gnd j13 na gnd c13 na gnd v9 na gnd n9 na gnd j9 na gnd aj8 na gnd ac8 na gnd h8 na gnd ad7 na gnd b8 na gnd ae6 na gnd g7 na gnd f6 na gnd af5 na gnd e5 na gnd ag4 na gnd d4 na gnd v3 na gnd n3 na gnd c3 na gnd ak2 na gnd ah3 na gnd ac2 na gnd h2 na gnd b2 na gnd a2 na gnd ak1 na gnd aj2 na gnd aj1 na gnd a1 na gnd b1 notes: 1. v ref or i/o option only in the xcv1000e and xcv1600e; otherwise, i/o option only. 2. v ref or i/o option only in the xcv1600e; otherwise, i/o option only. 3. i/o option only in the xcv600e. 4. no connect in the xcv600e. 5. no connect in the xcv600e, 1000e. table 26: fg900 ? xcv600e, xcv1000e, xcv1600e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 115 fg900 differential pin pairs virtex-e devices have differential pin pairs that can also pro- vide other functions when not used as a differential pair. a in the ao column indicates that the pin pair can be used as an asynchronous output for all devices provided in this package. pairs with a note number in the ao column are device dependent. they can have asynchronous outputs if the pin pair are in the same clb row and column in the device. numbers in this column refer to footnotes that indi- cate which devices have pin pairs than can be asynchro- nous outputs. the other functions column indicates alternative function(s) not available when the pair is used as a differential pair or differential clock. table 27: fg900 differential pin pair summary xcv600e, xcv1000e, xcv1600e pair bank p pin n pin ao other functions gclk lvds 3 0 c15 a15 na io_dll_ 34n 2 1 e15 e16 na io_dll_ 34p 1 5 ak16 ah16 na io_dll_ 177n 0 4 aj16 af16 na io_dll_ 177p io lvds total pairs: 283, asynchronous output pairs: 168 00f7c44 - 10g8d52 - 2 0 h9 a3 2 vref 30j10b42 - 40d6a4 - 5 0 b5 e7 vref 60f8a51 - 70n11d71 - 80e8g9 - 90j11a6 vref 10 0 b7 c7 2 - 11 0 h10 c8 2 - 12 0 f10 g10 - 13 0 h11 a8 vref 14 0 c9 d9 na - 15 0 j12 b9 4 - 16 0 a9 e10 na vref 17 0 b10 g11 na - 18 0 c10 h12 4 - 19 0 f11 h13 2 - 20 0 d11 e11 2 - 210g12b112 - 22 0 c11 f12 - 23 0 d12 a10 vref 24 0 a11 e12 1 - 25 0 b12 g13 1 - 26 0 k13 a12 - 27 0 b13 f13 vref 28 0 e13 g14 2 - 29 0 b14 d14 2 - 30 0 j14 a14 - 31 0 j15 k14 vref 32 0 h15 b15 na - 33 0 d15 f15 vref 34 1 e16 a15 na io_ lvds_dll 35 1 f16 b16 4 vref 36 1 h16 a16 4 - 37 1 k15 c16 vref 381g16k16 - 39 1 e17 a17 2 - 40 1 c17 f17 2 - 41 1 a18 e18 vref 42 1 a19 d18 - 431g18b191 - 44 1 h18 d19 1 - 45 1 f19 f18 vref 46 1 k17 b20 - 47 1 a20 d20 2 - 48 1 c20 g19 2 - 49 1 e20 k18 2 - 50 1 d21 b21 4 - 51 1 a21 f20 - table 27: fg900 differential pin pair summary xcv600e, xcv1000e, xcv1600e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 116 1-800-255-7778 production product specification 52 1 a22 c21 vref 53 1 b22 h19 4 - 54 1 d22 e21 4 - 55 1 c22 f21 vref 56 1 e22 h20 - 57 1 a23 g21 2 - 58 1 k19 a24 2 - 59 1 b24 c24 vref 601g22h21 - 61 1 c25 e23 1 - 62 1 a26 d24 1 - 63 1 k20 b26 vref 64 1 j21 d25 - 65 1 f23 c26 2 - 66 1 g23 b27 2 vref 67 1 f24 a27 2 - 68 1 a28 b28 4 - 69 1 c27 k21 cs 70 2 j22 e27 din, d0 71 2 c29 d28 na - 722g25e251 - 73 2 e28 c30 4 vref 74 2 k22 f27 3 - 75 2 d30 j23 4 - 76 2 l21 f28 1 vref 772g28e30 - 782g27e294 - 79 2 k23 h26 1 - 80 2 f30 l22 vref 81 2 h27 g29 - 822g30m212 - 83 2 j24 j26 4 - 84 2 h30 l23 4 vref 85 2 k26 j28 4 - table 27: fg900 differential pin pair summary xcv600e, xcv1000e, xcv1600e pair bank p pin n pin ao other functions 86 2 j29 k24 4 - 87 2 k27 j30 4 vref 88 2 m22 k29 na d2 89 2 k28 l25 4 - 90 2 n21 k25 1 - 91 2 l24 l27 4 - 92 2 l29 m23 3 - 93 2 l26 l28 4 - 94 2 l30 m27 1 vref 95 2 m26 m29 - 96 2 n29 m30 4 - 97 2 n25 n27 1 - 98 2 n30 p21 d3 99 2 n26 p28 - 100 2 p29 n24 2 - 101 2 p22 r26 - 102 2 p25 r29 4 vref 103 2 r21 r28 4 - 104 2 r25 t30 4 vref 105 2 p24 r27 4 - 106 3 r24 u29 na 107 3 r22 t27 4 vref 108 3 r23 t28 4 - 109 3 t21 t25 4 vref 110 3 u28 u30 4 - 111 3 t23 u27 2 - 112 3 u25 v27 - 113 3 u24 v29 vref 114 3 w30 u22 1 - 115 3 u21 w29 4 - 116 3 v26 w27 - 117 3 w26 y29 1 vref 118 3 w25 y30 4 - 119 3 v24 y28 3 - table 27: fg900 differential pin pair summary xcv600e, xcv1000e, xcv1600e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 117 120 3 aa30 w24 4 - 121 3 aa29 v20 1 - 122 3 y27 w23 na - 123 3 y26 ab30 d5 124 3 v21 aa28 vref 125 3 y25 aa27 4 - 126 3 w22 y23 4 - 127 3 y24 ab28 4 vref 128 3 ac30 aa25 - 129 3 w21 aa24 2 - 130 3 ab26 ad30 - 131 3 y22 ac27 vref 132 3 ad28 ab25 2 - 133 3 ac26 ae30 4 - 134 3 ad27 af30 - 135 3 af29 ab24 1 vref 136 3 ab23 ae28 4 - 137 3 ag30 ac25 3 - 138 3 ae26 ag29 4 vref 139 3 ah30 ac24 1 - 1403af28ad25na - 141 3 ah29 aa22 init 1424af27ak28 - 143 4 ag26 ah27 4 - 144 4 ad23 aj27 2 - 145 4 ab21 af25 2 vref 146 4 ac22 ah26 2 - 147 4 aa21 ag25 - 148 4 aj26 ad22 vref 149 4 aa20 ah25 1 - 150 4 ac21 af24 1 - 151 4 ag24 ak26 - 152 4 aj24 af23 vref 153 4 ae23 ab20 2 - table 27: fg900 differential pin pair summary xcv600e, xcv1000e, xcv1600e pair bank p pin n pin ao other functions 154 4 ac20 ag23 2 - 155 4 af22 ae22 - 156 4 aj22 ag22 vref 157 4 ak24 ad20 na - 158 4 aa19 af21 4 - 159 4 ah22 aa18 na vref 1604ag21ak23na - 161 4 ah21 ad19 4 - 162 4 ae20 aj21 2 - 1634ag20af202 - 164 4 ac18 af19 2 - 165 4 aj20 ae19 - 166 4 ak22 ah20 vref 1674ag19ab171 - 168 4 aj19 ad17 1 - 169 4 aa16 aa17 - 170 4 ak21 ab16 vref 1714ag18ak202 - 172 4 ak19 ad16 2 - 173 4 ae16 ae17 - 1744ag17aj17 vref 175 4 ad15 ah17 na - 176 4 ag16 ak17 4 vref 177 5 af16 ah16 na io_ lvds_dll 178 5 ac15 ag15 4 vref 179 5 ab15 af15 - 180 5 aa15 af14 vref 181 5 ah15 ak15 - 182 5 ab14 af13 2 - 183 5 ah14 aj14 2 - 184 5 ae14 ag13 vref 185 5 ak13 ad13 - 186 5 ae13 af12 1 - 187 5 ac13 aa13 1 - table 27: fg900 differential pin pair summary xcv600e, xcv1000e, xcv1600e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 118 1-800-255-7778 production product specification 188 5 aa12 aj12 vref 189 5 ab12 ae11 - 190 5 ak12 y13 2 - 191 5 ag11 af11 2 - 192 5 ah11 aj11 2 - 193 5 ae12 ag10 4 - 194 5 ad12 ak11 - 195 5 aj10 ac12 vref 196 5 ak10 ad11 4 - 197 5 aj9 ae9 4 - 198 5 ah10 af9 vref 199 5 ah9 ak9 - 200 5 af8 ab11 2 - 201 5 ac11 ag8 2 - 202 5 ak8 af7 vref 203 5 ag7 ak7 - 204 5 aj7 ad10 1 - 205 5 ah6 ac10 1 - 206 5 ad9 ag6 vref 207 5 ab10 aj5 - 208 5 ad8 ak5 2 - 209 5 ac9 aj4 2 vref 210 5 ag5 ak4 2 - 211 5 ah5 ag3 4 - 212 6 ac6 af3 - 213 6 ag2 ah2 na - 214 6 ae4 ab9 1 - 215 6 ah1 ae3 4 vref 216 6 ad6 ab8 3 - 217 6 aa10 ag1 4 - 218 6 ad4 aa9 1 vref 219 6 ad2 ad5 - 220 6 af2 ad3 4 - 221 6 aa7 aa8 1 - table 27: fg900 differential pin pair summary xcv600e, xcv1000e, xcv1600e pair bank p pin n pin ao other functions 222 6 y9 af1 vref 223 6 ac4 ab6 - 224 6 w8 ae1 2 - 225 6 ab4 y8 4 - 226 6 w9 ab3 4 vref 227 6 w10 aa5 4 - 228 6 v10 ab1 4 - 229 6 ac1 y7 4 vref 230 6 aa3 v11 na - 231 6 u10 aa2 4 - 232 6 aa6 w7 1 - 233 6 y4 y6 4 - 234 6 v7 aa1 3 - 235 6 y2 y3 4 - 236 6 w5 y5 1 vref 237 6 w6 w4 - 238 6 w2 v6 4 - 239 6 v4 u9 1 - 240 6 t8 ab2 vref 241 6 w1 u5 - 242 6 t9 y1 2 - 243 6 u3 t7 4 - 244 6 v2 t5 4 vref 245 6 t6 r9 4 - 246 6 u2 t4 4 vref 247 7 r10 t1 na 248 7 r6 r5 4 - 249 7 r4 r8 4 vref 250 7 r3 r7 4 - 251 7 p6 p10 4 vref 252 7 p2 p5 4 - 253 7 p4 p7 2 - 254 7 r2 n4 - 255 7 p1 n7 vref table 27: fg900 differential pin pair summary xcv600e, xcv1000e, xcv1600e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 119 fg1156 fine-pitch ball grid array package xcv1000e, xcv1600e, xcv2000e, xcv2600e, and xcv3200e devices in the fg1156 fine-pitch ball grid array package have footprint compatibility. pins labeled io_vref can be used as either v ref or general i/o, unless indicated in the footnotes. if the pin is not used as v ref , it can be used as general i/o. immediately following ta ble 2 8 , see ta ble 2 9 for differential pair information. 256 7 n6 m6 1 - 257 7 n1 n5 4 - 258 7 m5 m4 - 259 7 m1 m2 1 vref 260 7 l2 l4 4 - 261 7 l5 m7 3 - 262 7 m8 l1 4 - 263 7 m9 k2 1 - 264 7 m10 l3 na - 265 7 k1 k5 - 266 7 k3 l6 vref 267 7 k4 l7 4 - 268 7 j5 l8 4 - 269 7 h4 k6 4 vref 270 7 k7 h1 4 - 271 7 j2 j7 2 - 272 7 g2 h5 - 273 7 g5 l9 vref 274 7 k8 f3 1 - 275 7 e1 g3 4 - 276 7 e2 h6 - 277 7 k9 e4 1 vref 278 7 f4 j8 4 - 279 7 h7 d1 3 - 280 7 c2 g6 4 vref 281 7 f5 d2 1 - 282 7 k10 d3 4 - notes: 1. ao in the xcv600e, 1000e. 2. ao in the xcv1000e. 3. ao in the xcv1600e. 4. ao in the xcv1000e, xcv1600e. table 27: fg900 differential pin pair summary xcv600e, xcv1000e, xcv1600e pair bank p pin n pin ao other functions table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # 0gck3e17 0io b4 0io b9 0iob10 0iod9 3 0iod16 0ioe7 3 0ioe11 3 0ioe13 3 0ioe16 3 0iof17 3 0ioj12 3 0ioj13 3 0ioj14 3 0iok11 3 0 io_l0n_y f7 0io_l0p_y h9 0 io_l1n_y c5 0 io_l1p_y j10 0 io_vref_l2n_y e6 0io_l2p_y d6 0 io_l3n_y a4 0io_l3p_y g8 0 io_l4n_yy c6 0 io_l4p_yy j11 0 io_vref_l5n_yy g9 0 io_l5p_yy f8 0 io_l6n_yy a5 4
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 120 1-800-255-7778 production product specification 0 io_l6p_yy h10 5 0 io_l7n_y d7 0 io_l7p_y b5 0 io_l8n_y k12 0 io_l8p_y e8 0 io_l9n b6 4 0io_l9pf9 5 0 io_l10n_yy g10 0 io_l10p_yy c7 0 io_vref_l11n_yy d8 0 io_l11p_yy b7 0 io_l12n h11 4 0 io_l12p c8 5 0 io_l13n_y e9 0 io_l13p_y b8 0 io_vref_l14n_y k13 2 0 io_l14p_y g11 0 io_l15n a8 4 0 io_l15p f10 5 0 io_l16n_yy c9 0 io_l16p_yy h12 0 io_vref_l17n_yy d10 0 io_l17p_yy a9 0 io_l18n_y f11 0 io_l18p_y a10 0 io_l19n_y k14 0 io_l19p_y c10 0 io_vref_l20n_yy h13 0 io_l20p_yy g12 0 io_l21n_yy a11 0 io_l21p_yy b11 0 io_l22n_y e12 0 io_l22p_y d11 0 io_l23n_y g13 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # 0 io_l23p_y c12 0 io_l24n_y k15 0 io_l24p_y a12 0 io_l25n_y b12 0 io_l25p_y h14 0 io_l26n_yy d12 0 io_l26p_yy f13 0 io_vref_l27n_yy a13 0 io_l27p_yy b13 0 io_l28n_yy j15 4 0 io_l28p_yy g14 5 0 io_l29n_y c13 0 io_l29p_y f14 0 io_l30n_y h15 0 io_l30p_y d13 0 io_l31n a14 4 0 io_l31p k16 5 0 io_l32n_yy e14 0 io_l32p_yy b14 0 io_vref_l33n_yy g15 0 io_l33p_yy d14 0 io_l34n j16 4 0 io_l34p d15 5 0 io_l35n_y f15 0 io_l35p_y b15 0 io_l36n_y a15 0 io_l36p_y e15 0 io_l37n g16 4 0 io_l37p a16 5 0 io_l38n_yy f16 0 io_l38p_yy j17 0 io_vref_l39n_yy c16 0 io_l39p_yy b16 0 io_l40n_y h17 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 121 0 io_l40p_y a17 0 io_vref_l41n_y g17 1 0 io_l41p_y b17 0 io_lvds_dll_l42n c17 1gck2d17 1ioa18 1iob18 3 1iob24 1iob25 1ioe22 3 1ioe23 3 1iod18 3 1iod19 1iod25 3 1iod26 3 1iod28 3 1iod29 3 1iog23 3 1ioj23 3 1 io_lvds_dll_l42p j18 1 io_l43n_y g18 1 io_vref_l43p_y c18 1 1 io_l44n_y h18 1 io_l44p_y f18 1 io_l45n_yy b19 1 io_vref_l45p_yy a19 1 io_l46n_yy k19 1 io_l46p_yy c19 1 io_l47n f19 5 1 io_l47p e19 4 1 io_l48n_y g19 1 io_l48p_y j19 1 io_l49n_y a20 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # 1 io_l49p_y g20 1 io_l50n b20 5 1 io_l50p f20 4 1 io_l51n_yy d20 1 io_vref_l51p_yy e20 1 io_l52n_yy h20 1 io_l52p_yy a21 1 io_l53n e21 5 1 io_l53p j20 4 1 io_l54n_y d21 1 io_l54p_y k20 1 io_l55n_y b21 1 io_l55p_y h21 1 io_l56n_yy g21 5 1 io_l56p_yy f21 4 1 io_l57n_yy a22 1 io_vref_l57p_yy b22 1 io_l58n_yy j21 1 io_l58p_yy c22 1 io_l59n_y d22 1 io_l59p_y g22 1 io_l60n_y k21 1 io_l60p_y a23 1 io_l61n_y f22 1 io_l61p_y b23 1 io_l62n_y c23 1 io_l62p_y h22 1 io_l63n_yy d23 1 io_l63p_yy k22 1 io_l64n_yy a24 1 io_vref_l64p_yy j22 1 io_l65n_y h23 1 io_l65p_y d24 1 io_l66n_y a25 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 122 1-800-255-7778 production product specification 1 io_l66p_y e24 1 io_l67n_yy a26 1 io_vref_l67p_yy c25 1 io_l68n_yy f24 1 io_l68p_yy b26 1 io_l69n k23 5 1 io_l69p f25 4 1 io_l70n_y c26 1 io_vref_l70p_y h24 2 1 io_l71n_y g24 1 io_l71p_y a27 1 io_l72n b27 5 1 io_l72p g25 4 1 io_l73n_yy e26 1 io_vref_l73p_yy c27 1 io_l74n_yy j24 1 io_l74p_yy b28 1 io_l75n k24 5 1 io_l75p h25 4 1 io_l76n_y d27 1 io_l76p_y f26 1 io_l77n_y g26 1 io_l77p_y c28 1 io_l78n_yy e27 5 1 io_l78p_yy j25 4 1 io_l79n_yy a30 1 io_vref_l79p_yy h26 1 io_l80n_yy g27 1 io_l80p_yy b29 1 io_l81n_y f27 1 io_l81p_y c29 1 io_l82n_y e28 1 io_vref_l82p_y f28 1 io_l83n_y l25 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # 1 io_l83p_y b30 1 io_l84n b31 1 io_l84p e29 1 io_write_l85n_yy a31 1 io_cs_l85p_yy d30 2iof31 3 2ioj32 2iok27 3 2iok31 3 2 io l28 3 2 io l30 3 2iom32 3 2ion26 2ion28 3 2iop25 3 2iou26 3 2iou30 2iou32 3 2iou34 2io_d2m30 2 io_dout_busy_l86p_yy d32 2 io_din_d0_l86n_yy j27 2 io_l87p_y e31 2 io_l87n_y f30 2 io_l88p_y g29 2 io_l88n_y f32 2 io_vref_l89p_y e32 2 io_l89n_y g30 2 io_l90p m25 2 io_l90n g31 2 io_l91p_y l26 2 io_l91n_y d33 2 io_vref_l92p_y d34 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 123 2 io_l92n_y h29 2 io_l93p_yy j28 4 2 io_l93n_yy e33 5 2 io_l94p_yy h28 2 io_l94n_yy h30 2 io_l95p_y h32 2 io_l95n_y k28 2 io_l96p_y l27 4 2 io_l96n_y f33 5 2 io_l97p_y m26 2 io_l97n_y e34 2 io_vref_l98p_yy h31 2 io_l98n_yy g32 2 io_l99p_yy n25 4 2 io_l99n_yy j31 5 2 io_l100p_yy j30 2 io_l100n_yy g33 2 io_vref_l101p_y h34 2 2 io_l101n_y j29 2 io_l102p m27 4 2 io_l102n h33 5 2 io_l103p_y k29 2 io_l103n_y j34 2 io_vref_l104p_yy l29 2 io_l104n_yy j33 2 io_l105p_yy m28 2 io_l105n_yy k34 2 io_l106p_y n27 2 io_l106n_y l34 2 io_vref_l107p_yy k33 2 io_d1_l107n_yy p26 2 io_l108p_y r25 2 io_l108n_y m34 2 io_l109p_y l31 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # 2 io_l109n_y l33 2 io_l110p_y p27 2 io_l110n_y m33 2 io_l111p m31 2 io_l111n r26 2 io_l112p_y n30 2 io_l112n_y p28 2 io_vref_l113p_y n29 2 io_l113n_y n33 2 io_l114p_yy t25 4 2 io_l114n_yy n34 5 2 io_l115p_yy p34 2 io_l115n_yy r27 2 io_l116p_y p29 2 io_l116n_y p31 2 io_l117p_y p33 4 2 io_l117n_y t26 5 2 io_l118p_y r34 2 io_l118n_y r28 2 io_vref_l119p_yy n31 2 io_d3_l119n_yy n32 2 io_l120p_yy p30 4 2 io_l120n_yy r33 5 2 io_l121p_yy r29 2 io_l121n_yy t34 2 io_l122p_y r30 2 io_l122n_y t30 2 io_l123p t28 4 2 io_l123n r31 5 2 io_l124p_y t29 2 io_l124n_y u27 2 io_vref_l125p_yy t31 2 io_l125n_yy t33 2 io_l126p_yy u28 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 124 1-800-255-7778 production product specification 2 io_l126n_yy t32 2 io_vref_l127p_y u29 1 2 io_l127n_y u33 2 io_l128p_yy v33 2 io_l128n_yy u31 3iov27 3 3iov31 3iov32 3 3iow33 3 io ab25 3 3 io ab26 3 3 io ab31 3 3ioac31 3 3ioaf34 3ioag31 3 3ioag33 3 3ioag34 3ioah29 3 3ioaj30 3 3 io_l129p_y v26 3 io_vref_l129n_y v30 1 3 io_l130p_yy w34 3 io_l130n_yy v28 3 io_l131p_yy w32 3 io_vref_l131n_yy w30 3 io_l132p_y v29 3 io_l132n_y y34 3 io_l133p w29 5 3 io_l133n y33 4 3 io_l134p_y w26 3 io_l134n_y w28 3 io_l135p_yy y31 3 io_l135n_yy y30 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # 3 io_l136p_yy aa34 5 3 io_l136n_yy w31 4 3 io_d4_l137p_yy aa33 3 io_vref_l137n_yy y29 3 io_l138p_y w25 3 io_l138n_y ab34 3 io_l139p_y y28 5 3 io_l139n_y ab33 4 3 io_l140p_y aa30 3 io_l140n_y y26 3 io_l141p_yy y27 3 io_l141n_yy aa31 3 io_l142p_yy aa27 5 3 io_l142n_yy aa29 4 3 io_l143p_y ab32 3 io_vref_l143n_y ab29 3 io_l144p_y aa28 3 io_l144n_y ac34 3 io_l145p y25 3 io_l145n ad34 3 io_l146p_y ab30 3 io_l146n_y ac33 3 io_l147p_y aa26 3 io_l147n_y ac32 3 io_l148p_y ad33 3 io_l148n_y ab28 3 io_l149p_yy ae34 3 io_d5_l149n_yy ab27 3 io_d6_l150p_yy ae33 3 io_vref_l150n_yy ac30 3 io_l151p_y aa25 3 io_l151n_y ae32 3 io_l152p_yy ae31 3 io_l152n_yy ad29 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 125 3 io_l153p_yy ad31 3 io_vref_l153n_yy af33 3 io_l154p_y ac28 3 io_l154n_y af31 3 io_l155p_y ac27 5 3 io_l155n_y af32 4 3 io_l156p_y ae29 3 io_vref_l156n_y ad28 2 3 io_l157p_yy ad30 3 io_l157n_yy ag32 3 io_l158p_yy ac26 5 3 io_l158n_yy ah33 4 3 io_l159p_yy ad26 3 io_vref_l159n_yy af30 3 io_l160p_y ac25 3 io_l160n_y ah32 3 io_l161p_y ae28 5 3 io_l161n_y al34 4 3 io_l162p_y ag30 3 io_l162n_y ad27 3 io_l163p_yy af29 3 io_l163n_yy ak34 3 io_l164p_yy ad25 5 3 io_l164n_yy ae27 4 3 io_l165p_y aj33 3 io_vref_l165n_y ah31 3 io_l166p_y ae26 3 io_l166n_y al33 3 io_l167p af28 3 io_l167n al32 3 io_l168p_y aj31 3 io_vref_l168n_y af27 3 io_l169p_y ag29 3 io_l169n_y aj32 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # 3 io_l170p_y ak33 3 io_l170n_y ah30 3 io_d7_l171p_yy ak32 3 io_init_l171n_yy ak31 3iov34 4 gck0 ah18 4 io ae21 3 4ioag18 4ioag23 4ioah24 3 4ioah25 3 4ioaj28 3 4 io ak18 3 4 io ak19 3 4ioal25 4 io al27 3 4 io al30 3 4ioan18 4ioan22 3 4ioan24 3 4 io_l172p_yy ap31 4 io_l172n_yy ak29 4 io_l173p_y ap30 4 io_l173n_y an31 4 io_l174p_y ah27 4 io_l174n_y an30 4 io_vref_l175p_y am30 4 io_l175n_y ak28 4 io_l176p_y ag26 4 io_l176n_y an29 4 io_l177p_yy af25 4 io_l177n_yy am29 4 io_vref_l178p_yy al29 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 126 1-800-255-7778 production product specification 4 io_l178n_yy al28 4 io_l179p_yy ae24 4 4 io_l179n_yy an28 5 4 io_l180p_y aj27 4 io_l180n_y ah26 4 io_l181p_y ag25 4 io_l181n_y ak27 4 io_l182p am28 4 4 io_l182n af24 5 4 io_l183p_yy aj26 4 io_l183n_yy ap27 4 io_vref_l184p_yy ak26 4 io_l184n_yy an27 4 io_l185p ae23 4 4 io_l185n am27 5 4 io_l186p_y al26 4 io_l186n_y ap26 4 io_vref_l187p_y an26 2 4 io_l187n_y aj25 4 io_l188p ag24 4 4 io_l188n ap25 5 4 io_l189p_yy af23 4 io_l189n_yy am26 4 io_vref_l190p_yy aj24 4 io_l190n_yy an25 4 io_l191p_y ae22 4 io_l191n_y am25 4 io_l192p_y ak24 4 io_l192n_y ah23 4 io_vref_l193p_yy af22 4 io_l193n_yy ap24 4 io_l194p_yy al24 4 io_l194n_yy ak23 4 io_l195p_y ag22 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # 4 io_l195n_y an23 4 io_l196p_y ap23 4 io_l196n_y am23 4 io_l197p_y ah22 4 io_l197n_y ap22 4 io_l198p_y al23 4 io_l198n_y af21 4 io_l199p_yy al22 4 io_l199n_yy aj22 4 io_vref_l200p_yy ak22 4 io_l200n_yy am22 4 io_l201p_yy ag21 4 4 io_l201n_yy aj21 5 4 io_l202p_y ap21 4 io_l202n_y ae20 4 io_l203p_y ah21 4 io_l203n_y al21 4 io_l204p an21 4 4 io_l204n af20 5 4 io_l205p_yy ak21 4 io_l205n_yy ap20 4 io_vref_l206p_yy ae19 4 io_l206n_yy an20 4 io_l207p_y ag20 4 4 io_l207n_y al20 5 4 io_l208p_y ah20 4 io_l208n_y ak20 4 io_l209p_y an19 4 io_l209n_y aj20 4 io_l210p af19 4 4 io_l210n ap19 5 4 io_l211p_yy am19 4 io_l211n_yy ah19 4 io_vref_l212p_yy aj19 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 127 4 io_l212n_yy ap18 4 io_l213p_y af18 4 io_l213n_y ap17 4 io_vref_l214p_y aj18 1 4 io_l214n_y al18 4 io_lvds_dll_l215p am18 5 gck1 al19 5ioaf17 3 5ioag12 3 5ioah12 5ioaj10 3 5ioaj11 3 5 io ak7 3 5 io ak13 3 5 io al13 3 5ioam4 3 5ioan9 5ioan10 3 5ioan16 5ioan17 3 5 io_lvds_dll_l215n al17 5 io_l216p_y ah17 5 io_vref_l216n_y am17 1 5 io_l217p_y aj17 5 io_l217n_y ag17 5 io_l218p_yy ap16 5 io_vref_l218n_yy al16 5 io_l219p_yy aj16 5 io_l219n_yy am16 5 io_l220p ak16 5 5 io_l220n ap15 4 5 io_l221p_y al15 5 io_l221n_y ah16 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # 5 io_l222p_y an15 5 io_l222n_y af16 5 io_l223p_y ap14 5 5 io_l223n_y ae16 4 5 io_l224p_yy ak15 5 io_vref_l224n_yy aj15 5 io_l225p_yy ah15 5 io_l225n_yy an14 5 io_l226p ak14 5 5 io_l226n ag15 4 5 io_l227p_y am13 5 io_l227n_y af15 5 io_l228p_y ag14 5 io_l228n_y ap13 5 io_l229p_yy ae14 5 5 io_l229n_yy ae15 4 5 io_l230p_yy an13 5 io_vref_l230n_yy ag13 5 io_l231p_yy ah14 5 io_l231n_yy ap12 5 io_l232p_y aj14 5 io_l232n_y al14 5 io_l233p_y af13 5 io_l233n_y an12 5 io_l234p_y af14 5 io_l234n_y ap11 5 io_l235p_y an11 5 io_l235n_y ah13 5 io_l236p_yy am12 5 io_l236n_yy al12 5 io_l237p_yy aj13 5 io_vref_l237n_yy ap10 5 io_l238p_y ak12 5 io_l238n_y am10 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 128 1-800-255-7778 production product specification 5 io_l239p_y ap9 5 io_l239n_y ak11 5 io_l240p_yy al11 5 io_vref_l240n_yy al10 5 io_l241p_yy ae13 5 io_l241n_yy am9 5 io_l242p af12 5 5 io_l242n ap8 4 5 io_l243p_y al9 5 io_vref_l243n_y ah11 2 5 io_l244p_y af11 5 io_l244n_y an8 5 io_l245p_y am8 5 5 io_l245n_y ag11 4 5 io_l246p_yy al8 5 io_vref_l246n_yy ak9 5 io_l247p_yy ah10 5 io_l247n_yy an7 5 io_l248p ae12 5 5 io_l248n aj9 4 5 io_l249p_y am7 5 io_l249n_y al7 5 io_l250p_y ag10 5 io_l250n_y an6 5 io_l251p_yy ak8 5 5 io_l251n_yy ah9 4 5 io_l252p_yy ap5 5 io_vref_l252n_yy aj8 5 io_l253p_yy ae11 5 io_l253n_yy an5 5 io_l254p_y af10 5 io_l254n_y am6 5 io_l255p_y al6 5 io_vref_l255n_y ag9 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # 5 io_l256p_y ah8 5 io_l256n_y ap4 5 io_l257p_y an4 5 io_l257n_y aj7 5 io_l258p_yy am5 5 io_l258n_yy ak6 6io t1 6io v2 6io v3 6iov5 3 6iov8 3 6 io aa10 3 6ioab5 3 6ioab7 3 6ioab9 3 6ioad7 3 6ioad8 3 6ioae2 6ioae4 6ioaj4 3 6ioah5 3 6 io_l259n_yy ah6 6 io_l259p_yy af8 6 io_l260n_y ae9 6 io_l260p_y ak3 6 io_l261n_y ad10 6 io_l261p_y al2 6 io_vref_l262n_y al1 6 io_l262p_y ah4 6 io_l263n ag6 6 io_l263p ak1 6 io_l264n_y af7 6 io_l264p_y ak2 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 129 6 io_vref_l265n_y aj3 6 io_l265p_y ag5 6 io_l266n_yy ad9 4 6 io_l266p_yy aj2 5 6 io_l267n_yy ac10 6 io_l267p_yy ah2 6 io_l268n_y ah3 6 io_l268p_y af5 6 io_l269n_y ae8 4 6 io_l269p_y ag3 5 6 io_l270n_y ae7 6 io_l270p_y ag2 6 io_vref_l271n_yy af6 6 io_l271p_yy ag1 6 io_l272n_yy ac9 4 6 io_l272p_yy ag4 5 6 io_l273n_yy ae6 6 io_l273p_yy af3 6 io_vref_l274n_y af1 2 6 io_l274p_y af4 6 io_l275n ab10 4 6 io_l275p af2 5 6 io_l276n_y ac8 6 io_l276p_y ae1 6 io_vref_l277n_yy ad5 6 io_l277p_yy ae3 6 io_l278n_yy ac7 6 io_l278p_yy ad1 6 io_l279n_y ad6 6 io_l279p_y ad2 6 io_vref_l280n_yy ab8 6 io_l280p_yy ac1 6 io_l281n_yy ac5 6 io_l281p_yy ac2 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # 6 io_l282n_y aa9 6 io_l282p_y ac3 6 io_l283n_y ac4 6 io_l283p_y ad4 6 io_l284n_y aa8 6 io_l284p_y ab6 6 io_l285n ab1 6 io_l285p y10 6 io_l286n_y ab2 6 io_l286p_y aa7 6 io_vref_l287n_y aa4 6 io_l287p_y aa1 6 io_l288n_yy y9 4 6 io_l288p_yy ab4 5 6 io_l289n_yy aa2 6 io_l289p_yy y8 6 io_l290n_y aa6 6 io_l290p_y aa5 6 io_l291n_y ab3 4 6 io_l291p_y y7 5 6 io_l292n_y y1 6 io_l292p_y w10 6 io_vref_l293n_yy y5 6 io_l293p_yy y2 6 io_l294n_yy w9 4 6 io_l294p_yy w2 5 6 io_l295n_yy w7 6 io_l295p_yy y4 6 io_l296n_y w1 6 io_l296p_y y6 6 io_l297n_y w6 4 6 io_l297p_y w3 5 6 io_l298n_y v9 6 io_l298p_y w4 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 130 1-800-255-7778 production product specification 6 io_vref_l299n_yy w5 6 io_l299p_yy v1 6 io_l300n_yy v7 6 io_l300p_yy u2 6 io_vref_l301n_y v6 1 6 io_l301p_y u1 7io f5 7iog6 3 7io h1 7ioh7 3 7iok2 3 7iok4 3 7iol6 3 7iom5 3 7iom10 3 7ion5 3 7ion10 7ior7 4 7io t2 7iot7 3 7io u8 7iov4 3 7 io_l302n_yy u9 7 io_l302p_yy u4 7 io_l303n_y u7 7 io_vref_l303p_y u5 1 7 io_l304n_yy u3 7 io_l304p_yy u6 7 io_l305n_yy t3 7 io_vref_l305p_yy t6 7 io_l306n_y t9 7 io_l306p_y t4 7 io_l307n_y t5 5 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # 7 io_l307p_y r1 4 7 io_l308n_y r6 7 io_l308p_y t10 7 io_l309n_yy r2 7 io_l309p_yy r5 7 io_l310n_yy p1 7 io_vref_l310p_yy p5 7 io_l311n_y r8 7 io_l311p_y p2 7 io_l312n_y r9 5 7 io_l312p_y n1 4 7 io_l313n_y p4 7 io_l313p_y r10 7 io_l314n_yy p8 7 io_l314p_yy n2 7 io_l315n_yy p6 5 7 io_l315p_yy p7 4 7 io_l316n_y m1 7 io_vref_l316p_y n4 7 io_l317n_y n6 7 io_l317p_y n3 7 io_l318n p9 7 io_l318p m2 7 io_l319n_y n7 7 io_l319p_y m3 7 io_l320n_y p10 7 io_l320p_y m4 7 io_l321n_y l1 7 io_l321p_y n8 7 io_l322n_yy l2 7 io_l322p_yy n9 7 io_l323n_yy m7 7 io_vref_l323p_yy k1 7 io_l324n_y m8 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 131 7 io_l324p_y l4 7 io_l325n_yy j1 7 io_l325p_yy l5 7 io_l326n_yy j2 7 io_vref_l326p_yy k3 7 io_l327n_y l7 7 io_l327p_y j3 7 io_l328n_y m9 5 7 io_l328p_y h2 4 7 io_l329n_y j4 7 io_vref_l329p_y k6 2 7 io_l330n_yy l8 7 io_l330p_yy g2 7 io_l331n_yy h3 5 7 io_l331p_yy k7 4 7 io_l332n_yy g3 7 io_vref_l332p_yy j5 7 io_l333n_y l9 7 io_l333p_y h5 7 io_l334n_y j6 5 7 io_l334p_y h4 4 7 io_l335n_y g4 7 io_l335p_y k8 7 io_l336n_yy j7 7 io_l336p_yy f2 7 io_l337n_yy f3 5 7 io_l337p_yy l10 4 7 io_l338n_y e1 7 io_vref_l338p_y_y h6 7 io_l339n_y g5 7 io_l339p_y e2 7 io_l340n k9 7 io_l340p d1 7 io_l341n_y e3 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # 7 io_vref_l341p_y j8 7 io_l342n_y e4 7 io_l342p_y d2 7 io_l343n_y f4 7 io_l343p_y d3 2 cclk c31 3doneam31 na dxn aj5 na dxp al5 na m0 ak4 na m1 ag7 na m2 al3 na program ag28 na tck d5 na tdi c30 2tdok26 na tms c4 na vccint k10 na vccint k17 na vccint k18 na vccint k25 na vccint l11 na vccint l24 na vccint m12 na vccint m23 na vccint n13 na vccint n14 na vccint n15 na vccint n16 na vccint n19 na vccint n20 na vccint n21 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 132 1-800-255-7778 production product specification na vccint n22 na vccint p13 na vccint p22 na vccint r13 na vccint r22 na vccint t13 na vccint t22 na vccint u10 na vccint u25 na vccint v10 na vccint v25 na vccint w13 na vccint w22 na vccint y13 na vccint y22 na vccint aa13 na vccint aa22 na vccint ab13 na vccint ab14 na vccint ab15 na vccint ab16 na vccint ab19 na vccint ab20 na vccint ab21 na vccint ab22 na vccint ac12 na vccint ac23 na vccint ad24 na vccint ad11 na vccint ae10 na vccint ae17 na vccint ae18 na vccint ae25 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # na vcco_0 m17 na vcco_0 l17 na vcco_0 l16 na vcco_0 e10 na vcco_0 c14 na vcco_0 a6 na vcco_0 m13 na vcco_0 m14 na vcco_0 m15 na vcco_0 m16 na vcco_0 l12 na vcco_0 l13 na vcco_0 l14 na vcco_0 l15 na vcco_1 m18 na vcco_1 l18 na vcco_1 l23 na vcco_1 e25 na vcco_1 c21 na vcco_1 a29 na vcco_1 m19 na vcco_1 m20 na vcco_1 m21 na vcco_1 m22 na vcco_1 l19 na vcco_1 l20 na vcco_1 l21 na vcco_1 l22 na vcco_2 u24 na vcco_2 u23 na vcco_2 n24 na vcco_2 m24 na vcco_2 k30 na vcco_2 f34 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 133 na vcco_2 t23 na vcco_2 t24 na vcco_2 r23 na vcco_2 r24 na vcco_2 p23 na vcco_2 p24 na vcco_2 p32 na vcco_2 n23 na vcco_3 v23 na vcco_3 v24 na vcco_3 y23 na vcco_3 y24 na vcco_3 w23 na vcco_3 w24 na vcco_3 aj34 na vcco_3 ae30 na vcco_3 ac24 na vcco_3 ab23 na vcco_3 ab24 na vcco_3 aa23 na vcco_3 aa24 na vcco_3 aa32 na vcco_4 ad18 na vcco_4 ac18 na vcco_4 ac19 na vcco_4 ac20 na vcco_4 ac21 na vcco_4 ac22 na vcco_4 ap29 na vcco_4 am21 na vcco_4 ak25 na vcco_4 ad19 na vcco_4 ad20 na vcco_4 ad21 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # na vcco_4 ad22 na vcco_4 ad23 na vcco_5 ac17 na vcco_5 ad17 na vcco_5 ac13 na vcco_5 ac14 na vcco_5 ac15 na vcco_5 ac16 na vcco_5 ap6 na vcco_5 am14 na vcco_5 ak10 na vcco_5 ad12 na vcco_5 ad13 na vcco_5 ad14 na vcco_5 ad15 na vcco_5 ad16 na vcco_6 v11 na vcco_6 v12 na vcco_6 y11 na vcco_6 y12 na vcco_6 w11 na vcco_6 w12 na vcco_6 aj1 na vcco_6 ae5 na vcco_6 ac11 na vcco_6 ab11 na vcco_6 ab12 na vcco_6 aa3 na vcco_6 aa11 na vcco_6 aa12 na vcco_7 u11 na vcco_7 u12 na vcco_7 n12 na vcco_7 m11 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 134 1-800-255-7778 production product specification na vcco_7 k5 na vcco_7 f1 na vcco_7 t11 na vcco_7 t12 na vcco_7 r11 na vcco_7 r12 na vcco_7 p3 na vcco_7 p11 na vcco_7 p12 na vcco_7 n11 na gnd k32 na gnd r4 na gnd an1 na gnd am11 na gnd ak5 na gnd ah28 na gnd ad32 na gnd aa20 na gnd y20 na gnd w19 na gnd v19 na gnd u20 na gnd t20 na gnd r19 na gnd p19 na gnd h8 na gnd f12 na gnd c2 na gnd b1 na gnd a7 na gnd ap1 na gnd an2 na gnd am15 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # na gnd ak17 na gnd ah34 na gnd ac6 na gnd aa21 na gnd y21 na gnd w20 na gnd v20 na gnd u21 na gnd t21 na gnd r20 na gnd p20 na gnd h16 na gnd f23 na gnd c3 na gnd b2 na gnd a28 na gnd ap34 na gnd am3 na gnd al31 na gnd ah7 na gnd ad3 na gnd aa19 na gnd y19 na gnd w18 na gnd v18 na gnd u19 na gnd t19 na gnd r18 na gnd p18 na gnd j26 na gnd f6 na gnd c1 na gnd c34 na gnd a3 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 135 na gnd ap2 na gnd an3 na gnd am20 na gnd ak30 na gnd ag8 na gnd ac29 na gnd y3 na gnd y32 na gnd w21 na gnd v21 na gnd t8 na gnd t27 na gnd r21 na gnd p21 na gnd h19 na gnd f29 na gnd c11 na gnd b3 na gnd a32 na gnd ap3 na gnd an32 na gnd am24 na gnd aj6 na gnd ag16 na gnd aa14 na gnd y14 na gnd w8 na gnd w27 na gnd u14 na gnd t14 na gnd r3 na gnd r32 na gnd m6 na gnd h27 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # na gnd e5 na gnd c15 na gnd b32 na gnd a33 na gnd ap7 na gnd an33 na gnd am32 na gnd aj12 na gnd ag19 na gnd aa15 na gnd y15 na gnd w14 na gnd v14 na gnd u15 na gnd t15 na gnd r14 na gnd p14 na gnd m29 na gnd g1 na gnd e18 na gnd c20 na gnd b33 na gnd a34 na gnd ap28 na gnd an34 na gnd am33 na gnd aj23 na gnd ag27 na gnd aa16 na gnd y16 na gnd w15 na gnd v15 na gnd u16 na gnd t16 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 136 1-800-255-7778 production product specification na gnd r15 na gnd p15 na gnd l3 na gnd g7 na gnd e30 na gnd c24 na gnd b34 na gnd ap32 na gnd am1 na gnd am34 na gnd aj29 na gnd af9 na gnd aa17 na gnd y17 na gnd w16 na gnd v16 na gnd u17 na gnd t17 na gnd r16 na gnd p16 na gnd l32 na gnd g28 na gnd d4 na gnd c32 na gnd a1 na gnd ap33 na gnd am2 na gnd al4 na gnd ah1 na gnd af26 na gnd aa18 na gnd y18 na gnd w17 na gnd v17 table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin # na gnd u18 na gnd t18 na gnd r17 na gnd p17 na gnd j9 na gnd g34 na gnd d31 na gnd c33 na gnd a2 na gnd ab17 na gnd ab18 na gnd n17 na gnd n18 na gnd u13 na gnd v13 na gnd u22 na gnd v22 notes: 1. v ref or i/o option only in the xcv1600e, xcv2000e, xcv2600e, and xcv3200e; otherwise, i/o option only. 2. v ref or i/o option only in the xcv2000e, xcv2600e, and xcv3200e; otherwise, i/o option only. 3. no connect in the xcv1000e, xcv1600e. 4. no connect in the xcv1000e. 5. i/o in the xcv1000e. table 28: fg1156 ? xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e bank pin description pin #
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 137 fg1156 differential pin pairs virtex-e devices have differential pin pairs that can also pro- vide other functions when not used as a differential pair. the ao column in table 29 indicates which devices in this pack- age can use the pin pair as an asynchronous output. the ? other functions ? column indicates alternative function(s) that are not available when the pair is used as a differential pair or differential clock . table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions gclk lvds 3 0 e17 c17 na io_dll_l 42n 2 1 d17 j18 na io_dll_l 42p 1 5 al19 al17 na io_dll_l 215n 0 4 ah18 am18 na io_dll_l 215p io lvds total pairs: 344, asynchronous output pairs: 134 00h9f7 3200 1600 1000 - 10j10c5 3200 2000 1000 - 20d6e6 3200 2000 1000 vref 30g8a4 3200 2600 1000 - 40j11c6 3200 2600 2000 1600 1000 - 50f8g9 3200 2600 2000 1600 1000 vref 6 0 h10 a5 2000 1600 - 7 0 b5 d7 3200 1000 - 8 0 e8 k12 3200 1000 - 9 0 f9 b6 3200 2600 - 10 0 c7 g10 3200 2600 2000 1600 1000 - 11 0 b7 d8 3200 2600 2000 1600 1000 vref 12 0 c8 h11 3200 1600 - 13 0 b8 e9 3200 2000 1000 - 14 0 g11 k13 3200 2000 1000 vref 15 0 f10 a8 3200 2600 - 160h12c9 3200 2600 2000 1600 1000 - 17 0 a9 d10 3200 2600 2000 1600 1000 vref 18 0 a10 f11 2600 1600 1000 - 190c10k14 2600 1600 1000 - 20 0 g12 h13 3200 2600 2000 1600 1000 vref 21 0 b11 a11 3200 2600 2000 1600 1000 - 220d11e12 3200 1600 1000 - 230c12g13 3200 2000 1000 - 24 0 a12 k15 3200 2000 1000 - 250h14b12 3200 2600 1000 - 26 0 f13 d12 3200 2600 2000 1600 1000 - 27 0 b13 a13 3200 2600 2000 1600 1000 vref 28 0 g14 j15 2000 1600 - 29 0 f14 c13 3200 2600 1000 - 300d13h15 3200 2600 1000 - 31 0 k16 a14 3200 - table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 138 1-800-255-7778 production product specification 32 0 b14 e14 3200 2600 2000 1600 1000 - 33 0 d14 g15 3200 2600 2000 1600 1000 vref 34 0 d15 j16 3200 1600 - 35 0 b15 f15 3200 2000 1000 - 36 0 e15 a15 3200 2000 1000 - 37 0 a16 g16 3200 2600 - 38 0 j17 f16 3200 2600 2000 1600 1000 - 39 0 b16 c16 3200 2600 2000 1600 1000 vref 40 0 a17 h17 2600 1600 1000 - 41 0 b17 g17 2600 1600 1000 vref 42 1 j18 c17 none io_lvds_dll 43 1 c18 g18 2600 1600 1000 vref 44 1 f18 h18 2600 1600 1000 - 45 1 a19 b19 3200 2600 2000 1600 1000 vref 46 1 c19 k19 3200 2600 2000 1600 1000 - 47 1 e19 f19 3200 2600 - 48 1 j19 g19 3200 2000 1000 - 491g20a20 3200 2000 1000 - 50 1 f20 b20 3200 1600 - 51 1 e20 d20 3200 2600 2000 1600 1000 vref table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions 52 1 a21 h20 3200 2600 2000 1600 1000 - 53 1 j20 e21 3200 - 54 1 k20 d21 3200 2600 1000 - 551h21b21 3200 2600 1000 - 56 1 f21 g21 2000 1600 - 57 1 b22 a22 3200 2600 2000 1600 1000 vref 581c22j21 3200 2600 2000 1600 1000 - 59 1 g22 d22 3200 2600 1000 - 60 1 a23 k21 3200 2000 1000 - 61 1 b23 f22 3200 2000 1000 - 621h22c23 3200 1600 1000 - 63 1 k22 d23 3200 2600 2000 1600 1000 - 64 1 j22 a24 3200 2600 2000 1600 1000 vref 651d24h23 2600 1600 1000 - 66 1 e24 a25 2600 1600 1000 - 671c25a26 3200 2600 2000 1600 1000 vref 68 1 b26 f24 3200 2600 2000 1600 1000 - 69 1 f25 k23 3200 2600 - 701h24c26 3200 2000 1000 vref table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 139 71 1 a27 g24 3200 2000 1000 - 72 1 g25 b27 3200 1600 - 73 1 c27 e26 3200 2600 2000 1600 1000 vref 74 1 b28 j24 3200 2600 2000 1600 1000 - 75 1 h25 k24 3200 2600 - 76 1 f26 d27 3200 1000 - 77 1 c28 g26 3200 1000 - 78 1 j25 e27 2000 1600 - 79 1 h26 a30 3200 2600 2000 1600 1000 vref 80 1 b29 g27 3200 2600 2000 1600 1000 - 81 1 c29 f27 3200 2600 1000 - 82 1 f28 e28 3200 2000 1000 vref 83 1 b30 l25 3200 2000 1000 - 84 1 e29 b31 3200 1600 1000 - 85 1 d30 a31 3200 2600 2000 1600 1000 cs 86 2 d32 j27 3200 2600 2000 1600 1000 din, d0 87 2 e31 f30 3200 2600 2000 - 882g29f32 2600 2000 1000 - 89 2 e32 g30 3200 2600 1600 1000 vref 90 2 m25 g31 2600 1600 - table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions 91 2 l26 d33 3200 2600 1600 1000 - 922d34h29 2600 2000 1000 vref 93 2 j28 e33 3200 2600 2000 1600 - 942h28h30 3200 2600 2000 1600 1000 - 952h32k28 3200 2600 1600 1000 - 96 2 l27 f33 3200 2600 2000 - 97 2 m26 e34 2600 2000 1000 - 982h31g32 3200 2600 2000 1600 1000 vref 99 2 n25 j31 2000 1600 - 100 2 j30 g33 3200 2600 2000 1600 1000 - 101 2 h34 j29 2600 1000 vref 102 2 m27 h33 3200 2600 1600 - 103 2 k29 j34 3200 2600 1600 1000 - 104 2 l29 j33 3200 2600 2000 1600 1000 vref 105 2 m28 k34 3200 2600 2000 1600 1000 - 106 2 n27 l34 3200 1600 1000 - 107 2 k33 p26 2000 1600 1000 d1 108 2 r25 m34 3200 2600 2000 - 109 2 l31 l33 2000 1000 - 110 2 p27 m33 3200 2600 1600 1000 - table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 140 1-800-255-7778 production product specification 111 2 m31 r26 2600 1600 - 112 2 n30 p28 3200 1600 1000 - 113 2 n29 n33 2600 2000 1000 vref 114 2 t25 n34 3200 2600 2000 1600 - 115 2 p34 r27 3200 2600 2000 1600 1000 - 116 2 p29 p31 3200 2600 1600 1000 - 117 2 p33 t26 3200 2600 2000 - 118 2 r34 r28 2600 2000 1000 - 119 2 n31 n32 2000 1600 1000 d3 120 2 p30 r33 2000 1600 - 121 2 r29 t34 3200 2600 2000 1600 1000 - 122 2 r30 t30 1000 - 123 2 t28 r31 3200 1600 - 124 2 t29 u27 3200 2600 1600 1000 - 125 2 t31 t33 2000 1600 1000 vref 126 2 u28 t32 2000 1600 1000 - 127 2 u29 u33 3200 2600 1600 1000 vref 128 2 v33 u31 3200 2600 2000 1600 1000 - 129 3 v26 v30 3200 2600 1600 1000 vref 130 3 w34 v28 2000 1600 1000 - 131 3 w32 w30 2000 1600 1000 vref table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions 132 3 v29 y34 3200 2600 1600 1000 - 133 3 w29 y33 3200 1600 - 134 3 w26 w28 1000 - 135 3 y31 y30 3200 2600 2000 1600 1000 - 136 3 aa34 w31 2000 1600 - 137 3 aa33 y29 2000 1600 1000 vref 138 3 w25 ab34 2600 2000 1000 - 139 3 y28 ab33 3200 2600 2000 - 140 3 aa30 y26 3200 2600 1600 1000 - 141 3 y27 aa31 3200 2600 2000 1600 1000 - 142 3 aa27 aa29 3200 2600 2000 1600 - 143 3 ab32 ab29 2600 2000 1000 vref 144 3 aa28 ac34 3200 1600 1000 - 145 3 y25 ad34 2600 1600 - 146 3 ab30 ac33 3200 2600 1600 1000 - 147 3 aa26 ac32 2000 1000 - 148 3 ad33 ab28 3200 2600 2000 - 149 3 ae34 ab27 3200 2600 2000 1600 1000 d5 150 3 ae33 ac30 2000 1600 1000 vref 151 3 aa25 ae32 3200 1600 1000 - 152 3 ae31 ad29 3200 2600 2000 1600 1000 - table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 141 153 3 ad31 af33 3200 2600 2000 1600 1000 vref 154 3 ac28 af31 3200 2600 1600 1000 - 155 3 ac27 af32 3200 2600 1600 - 156 3 ae29 ad28 2600 1000 vref 157 3 ad30 ag32 3200 2600 2000 1600 1000 - 158 3 ac26 ah33 2000 1600 - 159 3 ad26 af30 3200 2600 2000 1600 1000 vref 160 3 ac25 ah32 2600 2000 1000 - 161 3 ae28 al34 3200 2600 2000 - 162 3 ag30 ad27 3200 2600 1600 1000 - 163 3 af29 ak34 3200 2600 2000 1600 1000 - 164 3 ad25 ae27 3200 2600 2000 1600 - 165 3 aj33 ah31 2600 2000 1000 vref 166 3 ae26 al33 3200 2600 1600 1000 - 167 3 af28 al32 2600 1600 - 168 3 aj31 af27 3200 2600 1600 1000 vref 169 3 ag29 aj32 2600 2000 1000 - 170 3 ak33 ah30 3200 2600 2000 - 171 3 ak32 ak31 3200 2600 2000 1600 1000 init table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions 172 4 ap31 ak29 3200 2600 2000 1600 1000 - 173 4 ap30 an31 3200 1600 1000 - 174 4 ah27 an30 3200 2000 1000 - 175 4 am30 ak28 3200 2000 1000 vref 176 4 ag26 an29 3200 2600 1000 - 1774af25am29 3200 2600 2000 1600 1000 - 178 4 al29 al28 3200 2600 2000 1600 1000 vref 179 4 ae24 an28 2000 1600 - 180 4 aj27 ah26 3200 1000 - 181 4 ag25 ak27 3200 1000 - 182 4 am28 af24 3200 2600 - 183 4 aj26 ap27 3200 2600 2000 1600 1000 - 184 4 ak26 an27 3200 2600 2000 1600 1000 vref 185 4 ae23 am27 3200 1600 - 186 4 al26 ap26 3200 2000 1000 - 187 4 an26 aj25 3200 2000 1000 vref 188 4 ag24 ap25 3200 2600 - 1894af23am26 3200 2600 2000 1600 1000 - 190 4 aj24 an25 3200 2600 2000 1600 1000 vref 191 4 ae22 am25 2600 1600 1000 - table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 142 1-800-255-7778 production product specification 192 4 ak24 ah23 2600 1600 1000 - 193 4 af22 ap24 3200 2600 2000 1600 1000 vref 194 4 al24 ak23 3200 2600 2000 1600 1000 - 195 4 ag22 an23 3200 1600 1000 - 196 4 ap23 am23 3200 2000 1000 - 197 4 ah22 ap22 3200 2000 1000 - 198 4 al23 af21 3200 2600 1000 - 199 4 al22 aj22 3200 2600 2000 1600 1000 - 200 4 ak22 am22 3200 2600 2000 1600 1000 vref 201 4 ag21 aj21 2000 1600 - 202 4 ap21 ae20 3200 2600 1000 - 203 4 ah21 al21 3200 2600 1000 - 204 4 an21 af20 3200 - 205 4 ak21 ap20 3200 2600 2000 1600 1000 - 206 4 ae19 an20 3200 2600 2000 1600 1000 vref 207 4 ag20 al20 3200 1600 - 208 4 ah20 ak20 3200 2000 1000 - 209 4 an19 aj20 3200 2000 1000 - 210 4 af19 ap19 3200 2600 - table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions 211 4 am19 ah19 3200 2600 2000 1600 1000 - 212 4 aj19 ap18 3200 2600 2000 1600 1000 vref 2134af18ap17 2600 1600 1000 - 214 4 aj18 al18 2600 1600 1000 vref 215 5 am18 al17 none io_lvds_dll 216 5 ah17 am17 2600 1600 1000 vref 217 5 aj17 ag17 2600 1600 1000 - 218 5 ap16 al16 3200 2600 2000 1600 1000 vref 219 5 aj16 am16 3200 2600 2000 1600 1000 - 220 5 ak16 ap15 3200 2600 - 221 5 al15 ah16 3200 2000 1000 - 222 5 an15 af16 3200 2000 1000 - 223 5 ap14 ae16 3200 1600 - 224 5 ak15 aj15 3200 2600 2000 1600 1000 vref 225 5 ah15 an14 3200 2600 2000 1600 1000 - 226 5 ak14 ag15 3200 - 227 5 am13 af15 3200 2600 1000 - 228 5 ag14 ap13 3200 2600 1000 - 229 5 ae14 ae15 2000 1600 - 230 5 an13 ag13 3200 2600 2000 1600 1000 vref table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 143 231 5 ah14 ap12 3200 2600 2000 1600 1000 - 232 5 aj14 al14 3200 2600 1000 - 233 5 af13 an12 3200 2000 1000 - 234 5 af14 ap11 3200 2000 1000 - 235 5 an11 ah13 3200 1600 1000 - 236 5 am12 al12 3200 2600 2000 1600 1000 - 237 5 aj13 ap10 3200 2600 2000 1600 1000 vref 238 5 ak12 am10 2600 1600 1000 - 239 5 ap9 ak11 2600 1600 1000 - 240 5 al11 al10 3200 2600 2000 1600 1000 vref 241 5 ae13 am9 3200 2600 2000 1600 1000 - 242 5 af12 ap8 3200 2600 - 243 5 al9 ah11 3200 2000 1000 vref 244 5 af11 an8 3200 2000 1000 - 245 5 am8 ag11 3200 1600 - 246 5 al8 ak9 3200 2600 2000 1600 1000 vref 247 5 ah10 an7 3200 2600 2000 1600 1000 - 248 5 ae12 aj9 3200 2600 - 249 5 am7 al7 3200 1000 - 250 5 ag10 an6 3200 1000 - table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions 251 5 ak8 ah9 2000 1600 - 252 5 ap5 aj8 3200 2600 2000 1600 1000 vref 253 5 ae11 an5 3200 2600 2000 1600 1000 - 2545af10am6 3200 2600 1000 - 255 5 al6 ag9 3200 2000 1000 vref 256 5 ah8 ap4 3200 2000 1000 - 257 5 an4 aj7 3200 1600 1000 - 258 5 am5 ak6 3200 2600 2000 1600 1000 - 259 6 af8 ah6 3200 2600 2000 1600 1000 - 260 6 ak3 ae9 3200 2600 2000 - 261 6 al2 ad10 2600 2000 1000 - 262 6 ah4 al1 3200 2600 1600 1000 vref 263 6 ak1 ag6 2600 1600 - 264 6 ak2 af7 3200 2600 1600 1000 - 265 6 ag5 aj3 2600 2000 1000 vref 266 6 aj2 ad9 3200 2600 2000 1600 - 267 6 ah2 ac10 3200 2600 2000 1600 1000 - 268 6 af5 ah3 3200 2600 1600 1000 - 269 6 ag3 ae8 3200 2600 2000 - table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 144 1-800-255-7778 production product specification 270 6 ag2 ae7 2600 2000 1000 - 271 6 ag1 af6 3200 2600 2000 1600 1000 vref 272 6 ag4 ac9 2000 1600 - 273 6 af3 ae6 3200 2600 2000 1600 1000 - 274 6 af4 af1 2600 1000 vref 275 6 af2 ab10 3200 2600 1600 - 276 6 ae1 ac8 3200 2600 1600 1000 - 277 6 ae3 ad5 3200 2600 2000 1600 1000 vref 278 6 ad1 ac7 3200 2600 2000 1600 1000 - 279 6 ad2 ad6 3200 1600 1000 - 280 6 ac1 ab8 2000 1600 1000 vref 281 6 ac2 ac5 3200 2600 2000 1600 1000 - 282 6 ac3 aa9 3200 2600 2000 - 283 6 ad4 ac4 2000 1000 - 284 6 ab6 aa8 3200 2600 1600 1000 - 285 6 y10 ab1 2600 1600 - 286 6 aa7 ab2 3200 1600 1000 - 287 6 aa1 aa4 2600 2000 1000 vref 288 6 ab4 y9 3200 2600 2000 1600 - 289 6 y8 aa2 3200 2600 2000 1600 1000 - table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions 290 6 aa5 aa6 3200 2600 1600 1000 - 291 6 y7 ab3 3200 2600 2000 - 292 6 w10 y1 2600 2000 1000 - 293 6 y2 y5 2000 1600 1000 vref 294 6 w2 w9 2000 1600 - 295 6 y4 w7 3200 2600 2000 1600 1000 - 296 6 y6 w1 1000 - 297 6 w3 w6 3200 1600 - 298 6 w4 v9 3200 2600 1600 1000 - 299 6 v1 w5 2000 1600 1000 vref 300 6 u2 v7 2000 1600 1000 - 301 6 u1 v6 3200 2600 1600 1000 vref 302 7 u4 u9 3200 2600 2000 1600 1000 - 303 7 u5 u7 3200 2600 1600 1000 vref 304 7 u6 u3 2000 1600 1000 - 305 7 t6 t3 2000 1600 1000 vref 306 7 t4 t9 3200 2600 1600 1000 - 307 7 r1 t5 3200 1600 - 308 7 t10 r6 1000 - 309 7 r5 r2 3200 2600 2000 1600 1000 - 310 7 p5 p1 2000 1600 1000 vref table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 145 311 7 p2 r8 2600 2000 1000 - 312 7 n1 r9 3200 2600 2000 - 313 7 r10 p4 3200 2600 1600 1000 - 314 7 n2 p8 3200 2600 2000 1600 1000 - 315 7 p7 p6 3200 2600 2000 1600 - 316 7 n4 m1 2600 2000 1000 vref 317 7 n3 n6 3200 1600 1000 - 318 7 m2 p9 2600 1600 - 319 7 m3 n7 3200 2600 1600 1000 - 320 7 m4 p10 2000 1000 - 321 7 n8 l1 3200 2600 2000 - 322 7 n9 l2 3200 2600 2000 1600 1000 - 323 7 k1 m7 2000 1600 1000 vref 324 7 l4 m8 3200 1600 1000 - 325 7 l5 j1 3200 2600 2000 1600 1000 - 326 7 k3 j2 3200 2600 2000 1600 1000 vref 327 7 j3 l7 3200 2600 1600 1000 - 328 7 h2 m9 3200 2600 1600 - 329 7 k6 j4 2600 1000 vref 330 7 g2 l8 3200 2600 2000 1600 1000 - table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions 331 7 k7 h3 2000 1600 - 332 7 j5 g3 3200 2600 2000 1600 1000 vref 333 7 h5 l9 2600 2000 1000 - 334 7 h4 j6 3200 2600 2000 - 335 7 k8 g4 3200 2600 1600 1000 - 336 7 f2 j7 3200 2600 2000 1600 1000 - 337 7 l10 f3 3200 2600 2000 1600 - 338 7 h6 e1 2600 2000 1000 vref 339 7 e2 g5 3200 2600 1600 1000 - 340 7 d1 k9 2600 1600 - 341 7 j8 e3 3200 2600 1600 1000 vref 342 7 d2 e4 2600 2000 1000 - 343 7 d3 f4 3200 2600 2000 - table 29: fg1156 differential pin pair summary: xcv1000e, xcv1600e, xcv2000e, xcv2600e, xcv3200e pair bank p pin n pin ao other functions
virtex ? -e 1.8 v field programmable gate arrays r module 4 of 4 www.xilinx.com ds022-4 (v2.5) march 14, 2003 146 1-800-255-7778 production product specification revision history the following table shows the revision history for this document. date version revision 12/7/99 1.0 initial xilinx release. 1/10/00 1.1 re-released with spd.txt v. 1.18, fg860/900/1156 package information, and additional dll, select ram and selecti/o information. 1/28/00 1.2 added delay measurement methodology table, updated selecti/o section, figures 30, 54, & 55, text explaining table 5, t byp values, buffered hex line info, p. 8, i/o timing measurement notes, notes for tables 15, 16, and corrected f1156 pinout table footnote references. 2/29/00 1.3 updated pinout tables, v cc page 20, and corrected figure 20. 5/23/00 1.4 correction to table on p. 22. 7/10/00 1.5  numerous minor edits.  data sheet upgraded to preliminary.  preview -8 numbers added to virtex-e electrical characteristics tables. 8/1/00 1.6  reformatted entire document to follow new style guidelines.  changed speed grade values in tables on pages 35-37. 9/20/00 1.7  min values added to virtex-e electrical characteristics tables.  xcv2600e and xcv3200e numbers added to virtex-e electrical characteristics tables (module 3).  corrected user i/o count for xcv100e device in table 1 (module 1).  changed several pins to ? no connect in the xcv100e ? and removed duplicate v ccint pins in table ~ (module 4).  changed pin j10 to ? no connect in xcv600e ? in table 74 (module 4).  changed pin j30 to ? v ref or i/o option only in the xcv600e ? in table 74 (module 4).  corrected pair 18 in table 75 (module 4) to be ? ao in the xcv1000e, xcv1600e ? . 11/20/00 1.8  upgraded speed grade -8 numbers in virtex-e electrical characteristics tables to preliminary.  updated minimums in table 13 and added notes to table 14.  added to note 2 to absolute maximum ratings .  changed speed grade -8 numbers for t shcko32 , t reg , t bccs , and t ickof .  changed all minimum hold times to ? 0.4 under global clock set-up and hold for lvttl standard, with dll .  revised maximum t dllpw in -6 speed grade for dll timing parameters .  changed gclk0 to ba22 for fg860 package in table 46. 2/12/01 1.9  revised footnote for table 14.  added numbers to virtex-e electrical characteristics tables for xcv1000e and xcv2000e devices.  updated table 27 and table 78 to include values for xcv400e and xcv600e devices.  revised table 62 to include pinout information for the xcv400e and xcv600e devices in the bg560 package.  updated footnotes 1 and 2 for table 76 to include xcv2600e and xcv3200e devices.
virtex ? -e 1.8 v field programmable gate arrays r ds022-4 (v2.5) march 14, 2003 www.xilinx.com module 4 of 4 production product specification 1-800-255-7778 147 virtex-e data sheet the virtex-e data sheet contains the following modules:  ds022-1, virtex-e 1.8v fpgas: introduction and ordering information (module 1)  ds022-2, virtex-e 1.8v fpgas: functional description (module 2)  ds022-3, virtex-e 1.8v fpgas: dc and switching characteristics (module 3)  ds022-4, virtex-e 1.8v fpgas: pinout tables (module 4) 4/2/01 2.0  updated numerous values in virtex-e switching characteristics tables.  changed pinout table footnotes from " v ref option only " to " v ref or i/o option only " to improve clarity.  converted file to modularized format. see the virtex-e data sheet section. 7/26/01 2.1  changed pinout table footnotes from " v ref or i/o option only " to " v ref or i/o option only; otherwise i/o only " to improve clarity.  changed designation for pin pair 300 in table 29 from ao to footnote 9. 10/25/01 2.2  changed ta ble 2 9 to clarify which devices in the fg1156 package can use each pin pair as an asynchronous output.  updated references to the xcv3200e device in the fg1156 package. 11/15/01 2.3  fixed cosmetic error. 07/17/02 2.4  added ? vref ? to the description for pin b15 in ta b l e 1 2 .  changed designation for pin pair 129 in table 15 from ao to ? ao in the xcv1000e, 1600e, 2000e ? .  data sheet designation upgraded from preliminary to production. 03/14/03 2.5  removed the virtex-e xcv300e section under pinout differences between virtex and virtex-e families (and revised table 1 ), since these differences do not exist. date version revision


▲Up To Search▲   

 
Price & Availability of XCV50E

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X