Part Number Hot Search : 
K3567 SAA4700T 856441 PM1CB1 73648 SS411 TA7257P HCT374
Product Description
Full Text Search
 

To Download CY3653 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 WirelessUSBTM PRoCTM Development Kit Tutorial
1. Introduction
This tutorial provides the developer with a basic, hands-on understanding of the WirelessUSBTM PRoCTM technology using the CY3653 WirelessUSB PRoC Development kit. It presents detailed pictures and step-by-step instructions to use the following tutorial examples: Radio Tutorial, Protocol Slave Tutorial, and Protocol Master Tutorial.
2.
Emulation and Programming
Before stepping through the process of emulation or programming, make sure that PSoC DesignerTM is installed, the MiniProg or ICE-Cube is connected to the PC with the provided USB cable, and that all of the hardware assembly (from the WirelessUSB PRoCTM DVK Quick Start Guide) has been done. When emulating, remove the PRoC Module from the PSoC Eval1 board and replace it with the PRoC Development Module. Note: Programming can be done by using either the MiniProg or the ICE-Cube. However, the ICE-Cube is required for emulation. The ICE-Cube is available in the PSoCTM development kit and can be ordered from Cypress's online store (PSoC Development Kit, CY3215-DK)
Programming
4
9
5
3
6 8 Steps: 1. Launch PSoC Programmer software 2. Remove the power from the Eval1 board 3. Connect the MiniProg to the 5-pin ISSP header on the PSoC Eval1 board 4. In PSoC Programmer, click the "File Load" button to load the desired binary (hex) file 5. Select the MiniProg port 6. Select the "Power Cycle" Programming Mode 7. Select the 69xx "Device Family" 8. Select the CYWUSB6953-48LFXC "Device" 9. Click "Program" (It will go through programming and verification modes) 10. Once the programming is finished, remove the MiniProg from the Eval1 board 11. Connect the power to the Eval1 board
Cypress Semiconductor Corporation * 3901 North First Street * San Jose * CA 95134 * 408-943-2600
7
Emulation
2
3 4 5
9
6
7
8
Steps: 1. Launch the desired .SOC project file project from \Firmware\\ 2. Replace the PRoC Module with the PRoC Development Module 3. Connect the Flex Pod to the ICE-Cube and PRoC Development Module 4. Select Config | Generate Application or select the above icon 5. Select Build | Build, hit F7, or select the above icon 6. Select Build | Start Debug, hit CTL+F5, or select the above icon 7. Select Debug | Connect, or select the above icon 8. Select Debug | Download to Emulator, or select the above icon 9. Select Debug | Go, hit F5, or select the above icon
WirelessUSB PRoCTM Development Kit Tutorial
Page 2 of 5
3.
Radio Tutorial
The Radio Tutorial shows the simplicity of transmitting data and receiving data using the WirelessUSB LS user module. When the button (S1) is pushed, byte 0x55 is transmitted. If the button is not being pressed, then it listens for byte (0x55). If the byte is received, either LED1 or LED4 will light up. Follow the steps below: Steps: 1. Program the first PRoC Module on one of the Eval1 boards by using Radio_Tutorial.hex file located at \Firmware\Binaries\Radio_Tutorial.hex by following the "Programming" instructions on page 1. 2. Program the second board with the same hex file. 3. Push the button (S1) on either board.
3
Results: An LED on the other board will light up. Every time the button is pushed, the other board will switch between LED1 and LED4, if it is wired the same as in the assembly instructions from the WirelessUSB PRoC DVK Quick Start Guide.
First Byte Received
Second Byte Received
WirelessUSB PRoCTM Development Kit Tutorial
Page 3 of 5
When using the WirelessUSB Listener Tool, byte 0x55 can be seen when transmitted. The listener needs to be set to listen to PN code 1 and channel 1 to see it. The Listener Tool is available at Cypress' Online Store (CY3632L- WirelessUSBTM Listener).
4.
Protocol Master Tutorial
The Protocol Master Tutorial shows the simplicity of creating a master device, which utilizes the standard protocol using the WirelessUSB LS user module (See WirelessUSB LS 2-way HID Systems for more information on the standard protocol). Initially, it goes through an automatic bind process with a slave device and is connected. When the button (S1) is pushed, byte 0x55 is transmitted to the slave. If the button is not being pressed, then the protocol checks for a valid packet from the slave device. If a valid packet is received, app_unload_packet() is called from the protocol. In this application app_unload_packet() sets either LED1 or LED4. To run the Protocol Master Tutorial follow the steps below: Steps: 1. Program the first PRoC Module on one of the Eval1 boards by using Protocol_Master_Tutorial.hex file located at \Firmware\Binaries\ by following the "Programming" instructions on page 1. 2. Program the second board with the Protocol Slave Tutorial hex file. 3. Push the button (S1) on either board. Results: The results are the same as the Radio Tutorial. An LED on the slave board will light up. Every time the button is pushed, the slave board will switch between LED1 and LED4, if it is wired the same as in the assembly instructions from the WirelessUSB PRoC DVK Quick Start Guide. See the result figures in the Radio Tutorial.
WirelessUSB PRoCTM Development Kit Tutorial
Page 4 of 5
5.
Protocol Slave Tutorial
The Protocol Slave Tutorial shows the simplicity of creating a slave device, which utilizes the standard protocol using the WirelessUSB LS user module (See WirelessUSB LS 2-way HID Systems for more information on the standard protocol). Initially, it goes through an automatic bind process with a master device and is connected. When the button (S1) is pushed, byte 0x55 is transmitted to the master. If the button is not being pressed, then it polls the master device for a valid ACK_DATA packet every 10 iterations through the loop by sending a null packet. If a valid ACK_DATA packet is received from the master then app_unload_packet() is called, which sets either LED1 or LED4. To run the Protocol Slave Tutorial follow the steps below: Steps: 1. Program the first PRoC Module on one of the Eval1 boards by using Protocol_Slave_Tutorial.hex file located at \Firmware\Binaries\ by following the "Programming" instructions on page 1. 2. Program the second board with the Protocol Master Tutorial hex file. 3. Push the button (S1) on either board. Results: The results are the same as the Radio Tutorial. An LED on the master board will light up. Every time the button is pushed, the master board will switch between LED1 and LED4, if it is wired the same as in the assembly instructions from the WirelessUSB PRoC DVK Quick Start Guide. See the result figures in the Radio Tutorial.
PSoC and PRoC are trademarks of Cypress Semiconductor
WirelessUSB PRoCTM Development Kit Tutorial
Page 5 of 5


▲Up To Search▲   

 
Price & Availability of CY3653

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X